Systemverilog语言(7)-------随机化

1.randomization topics

在这里插入图片描述
在这里插入图片描述
constrained :受约束的
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
protocol:协议
在这里插入图片描述

2.randomization in SV

在这里插入图片描述
keyword:rand在这里插入图片描述
keyword:randc,循环变量,只能用于bit型和enum型
所有值每次是乱序出现的,但是每个值都会出现。
在这里插入图片描述constraint:不能重复且许合法
在这里插入图片描述randomize() function是有返回值的。
在这里插入图片描述
相同的seed会有相同的随机值。
在这里插入图片描述
constraint 由constraint identifier和constraint block构成。只有rand及其相关变量constraint才是有效的。
在这里插入图片描述
在这里插入图片描述
inside:范围约束

在这里插入图片描述
权重分布:dist
如{a sinsdie {0,1 ,1,1,1,1}}可以简化为{a dist {0:=1,1:=5}}。
在这里插入图片描述
上图是:= 和:/的区别,[1:3]:/60表示1-3供出现60次,每个出现20次;[1:3]:=60表示1-3各出现60次,共180次。
在这里插入图片描述
上图示例
在这里插入图片描述
约束不是过程语句,并非一句一句的执行,而是声明语句,编译的时候就确定了。
在这里插入图片描述
->和if…else可以相互替换。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
上三图均为示例。
在这里插入图片描述
solve…(y)before (x):在处理x之前先处理y。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
iterative:重复的
在这里插入图片描述
SV允许约束表达式包含function calls;
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
关掉随机变量:rand_mod()。
在这里插入图片描述
关掉随机变量的约束:constraint_mode()。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值