HelloFPGA

chapter 0: 开发工具和环境

开发环境:ISE Design suite 14.7 WebPack(x64)

目标板:xilinx spartan-3e fpga 最小系统开发板 (主芯片:Spartan-3E系列XC3S500PQE208)

下载器:xilinx platform cable usb

chapter 1: 创建工程

(1)在桌面快捷方式或开始→所有程序→Xilinx Design Tools→Xilinx ISE Design Suite 14.7→ISE Design Tools中打开64-bit Project Navigator。 

(2)单击New Project...出现下图所示对话框。填写上项目名称,选择好项目存储路径,然后单击下一步。


(3)出现下图的对话框,主要设置Family、Device和Package三个选项,由于现在所使用的芯片是Spartan XC3S500E PQG208,所以按下图的设置。

(4)单击Next,确认信息,然后单击Finish。

chapter 2: 编写代码

(1)在顶层,如图中红色所示的地方右键,选择New source

(2)出现下面的对话框,选择Verilog Module类型,然后输入文件名。单击下一步。

(3)继续单击下一步,不在这里设置io。

(4)单击Finish完成创建文件。

(5)默认会帮我们写一些代码

(6)修改代码,修改后的代码功能是让d_out在1秒内高低电平变换一次。

(7)添加约束文件,同样也是右键选择New source

(8)选择类型,输入文件名,然后单击Next,出现下面的界面,单击Finish

(9)添加约束代码(这里要根据开发板的资料来选择,时钟和复位一般是固定的)

chapter 3: 配置编译

(1)在Design栏选中main.v,下面会出现如图的几个选项,双击Configure Target Device,开始编译配置

(2)弹出对话框,单击OK

(3)弹出如下对话框,双击Create ROM File

(4)双击后弹出下面的对话框,按图中的顺序依次选择各个选项。(根据开发板资料来选择具体配置)

(5)完成后会弹出下面的提示框,选择OK

(6)在弹出的对话框里选择前面编译产生的bit文件

(7)又弹出一个对话框,这次选择No

(8)选择OK

(9)双击左下的Generate File ...开始生成mcs文件


chapter 4: 烧写程序

(1)双击,左上的Boundary Scan

(2)单击红色标注的按钮

(3)选择No,然后在弹出的对话框,选择OK

(4)双击蓝色虚线表示的SPI BPI符号

(5)在弹出的对话框中选择前面生成好的mcs文件

(6)选择如下图的配置,然后单击OK(配置要根据不同的ROM来选择,一般开发板所带资料里面会有)

(7)左键单击选中下图绿色所示的SPI部分,然后右键单击,在弹出的菜单里选择Program

(8)下载中。。。

(9)下载结束,出现提示


完成:

小灯在闪烁,由于设置输出到P2口,所以根据开发板电路图将P2连接到LED对应的管脚。

转载于:https://my.oschina.net/hanjianqiao/blog/376493

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
为什么要学学习指导篇: 对于FPGA初学者来说,什么是FPGA?为什么要学习FPGA?怎么学习FPGA?这三个是我们最先会面对的大问题,我们只有搞明白、弄清楚了这三个问题,才能有目的、有计划的去掌握这门技术,否则,我们学习FPGA的愿望只是空中楼阁而已。学习指导篇包含哪些内容:该篇以什么是FPGA、为什么要学习FPGA、怎么学习FPGA为主线,详细的讲解了FPGA是什么、FPGA有什么用, FPGA发展前景,以及FPGA职业待遇,并且在该基础上,我们还进一步详细讲解了如何从一个什么都不会的FPGA初学者成长到无所不知、无所不能的一个FPGA工程师。 为什么要学数字电路篇: 数字电路是FPGA的敲门砖、垫脚石,为什么这样说呢,因为数字电路主要的内容就是逻辑和时序,而逻辑与时序就是FPGA设计的核心。逻辑无非就是与门、或门、非门 ,时序无非就是锁存器、触发器、寄存器等等,再复杂的设计也就是用这些基本电路搭起来的,那么我们只有知道了这些基本电路的功能才能搭建出想要的电路。数字电路篇包含了哪些内容:该篇是从数字逻辑最基础的0和1入手,以逻辑代数为基础详细来讲解与门、或门、非门等基本门电路的功能,又以基本的门电路为基础,进一步学习了组合逻辑电路,其中不同的与或非门组合,可构造出不同的组合逻辑电路,例如编码器、译码器、数据选择器等。接下来在组合逻辑电路的基础上,我们又进一步了解学习时序逻辑电路,时序逻辑电路不同于组合逻辑电路,时序逻辑电路能做到组合电路做不到的事情,那就是让电路具有“记忆”功能,如寄存器、计数器等。最后,我们深入探讨读者最为关心的可编程器件的内部结构和原理,为进一步学习FPGA原理打下了基础。 为什么要学硬件语法篇: 大家都知道软件设计使用软件编程语言,例如我们熟知的C、 Java等等,而FPGA设计使用的是HDL语言,例如VHDL和Verilog HDL,说的直白点, FPGA的设计就是逻辑电路的实现,就是把我们从数字电路中学到的逻辑电路功能,使用硬件描述语言Verilog/NHDL)描述出来,这需要设计人员能够用硬件编程思维来编写代码,以及拥有扎实的数字电路功底。硬件语法篇包含了哪些内容:该篇不仅仅是介绍了Verilog HDL基本概念和语法,更着重讲解了Verilog HDL的基本设计思想及优良的代码书写规范和风格。 为什么要学软件工具篇: Altera的开发环境为Quartus ll, xilinx的开发环境ISE( IntegratedSoftware Environment ),这里我们选择的是Altera的器件,所以开发环境为Quartus ll, Quartus11开发软件是Altera公司为其FPGA芯片设计的集成化专用开发工具,是Altera最新一代功能更强的集成EDA开发软件,使用Quartus 1l可完成从设计输入,综合适配,仿真验证到下载调试的整个设计过程。Quartus 11集成了Modelsim-Altera, SignalTap工具,可以直接调用来完成设计任务的仿真及调试。软件工具篇包含了哪些内容:该篇不仅讲解了如何使用Quartus 1l软件、ModelSim和SignalTapll软件,还讲解了PLL. ROM,RAM,FIFO、 DDRIP核的使用。从第一个新工程建立,管脚分配,程序下载及工程仿真,工程调试等一系列图文操作手把手带领读者掌握软件的操作,更进一步铺开来详细讲解软件的操作界面及菜单说明,功能使用。解决读者在学习上遇到的最棘手的“软”问题,为进一步学习实战篇奠定了基础。 为什么要学项目实战篇: 前面的篇章多为理论知识,而这一篇是结合开发板实物,从理论上升到实践,将前面的基础知识运用到实际的工程项目当中。项目实战篇包含哪些内容:我们例举三人表决器、数字时钟、多终端点歌系统、数字示波器、数码相框、USB2.0摄像头和千兆网络摄像头这七个实际的工程项目,手把手带领大家从分析工程、分解工程、到最终实现工程。通过逐个解决工程中的实际问题,来学习原汁原味的FPGA设计。本篇一改传统教程里逐个讲解外设的方法,巧妙的将所有外设功能放在实际项目当中讲解,使读者1意X上做到了现现,活学活用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值