如何在SV中使用枚举enum语法

前言
enum可用于一系列常量的定义。典型是用于状态机的状态建模,使得code更为清晰。

流程
本次需要一个top层模块描述状态机和定义文件即可。
(1)definition.sv内容为:使用one_hot编码。
`ifndef  DFFS_DONE
     `define   DFFS_DONE
     package   p_demo ;
         localparam  p_width  =   4 ;
         typedef enum   logic  [ 3 : 0 ]  {   //one_hot coder
            e_adc_idel      =   4'b0001 ,
            e_adc_cache     =   4'b0010 ,
            e_adc_transfer  =   4'b0100 ,
            e_adc_work      =   4'b1000
         }   e_adc_state ;

     endpackage  
     import   p_demo ::* ;
`endif
(2)demo_sv.sv内容为:随便写个状态机试试。
`include   "definitions.sv"
module   demo_sv  (
     input    logic  i_clk,
     output   logic  o_b        
);
e_adc_state  es_state  =  e_adc_idel;  //enum类型变量定义

logic  [p_width - 1 : 0 ] l_cnt  =   '0 ;
always_ff   @ ( posedge  i_clk)
begin
    l_cnt  <=  l_cnt  +   'd1 ;
end
always_ff   @ ( posedge  i_clk)  //状态机跳转逻辑
begin
     if  (l_cnt  ==   'd0 )
        es_state  <=  e_adc_idel;
     else  
     begin
         case  (es_state)
        e_adc_idel :   begin  
             if  (l_cnt  ==   'd4 ) 
                es_state  <=  e_adc_cache;
         end  
        e_adc_cache :   begin
             if  (l_cnt  ==   'd15 )
                es_state  <=  e_adc_work;
         end
        e_adc_transfer :   begin
             if  (l_cnt  ==   'd9 )
                es_state  <=  e_adc_cache;
         end
        e_adc_work :   begin
             if  (l_cnt  ==   'd29 )
                es_state  <=  e_adc_transfer;
         end
         default :  es_state  <=  e_adc_idel;
         endcase  
     end
end
assign  o_b  =  (es_state  ==  e_adc_transfer)  ?   1'b1   :   1'b0 ;

endmodule : demo_sv
(3)使用vivado2018.3综合看看。

注意:匿名枚举是不可综合的。
以上。
  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值