记录quartus2制作简易频率计,波形仿真一直失败

遇到报错ModelSim-Altera was not found. Please install ModelSim-Altera which
is included with the Quartus II installer, or use the Quartus II Sim
ulator instead by selecting"Simulation> Options> Quartus II Simul
ator"
在这里插入图片描述
在这里插入图片描述
改成第二个选项即可,记录一下。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
以下是使用Quartus II软件进行数字频率计设计的简单步骤: 1. 打开Quartus II软件并创建一个新的工程。 2. 在工程中添加一个新的VHDL文件。 3. 在VHDL文件中定义一个计数器,用于计算输入信号的周期。例如: ``` entity freq_counter is port(clk_in : in std_logic; reset : in std_logic; freq_out : out integer range 0 to 999999999); end entity freq_counter; architecture behave of freq_counter is signal clk_count : integer range 0 to 999999999 := 0; begin process(clk_in, reset) begin if reset = '1' then clk_count <= 0; elsif rising_edge(clk_in) then if clk_count = 999999999 then clk_count <= 0; else clk_count <= clk_count + 1; end if; end if; end process; freq_out <= clk_count; end architecture behave; ``` 4. 在VHDL文件中添加一个组合逻辑,用于将计数器的输出转换为频率。例如: ``` entity freq_counter_top is port(clk_in : in std_logic; reset : in std_logic; freq_out : out integer range 0 to 999999999); end entity freq_counter_top; architecture behave of freq_counter_top is signal count : integer range 0 to 999999999 := 0; signal freq : integer range 0 to 999999999 := 0; begin freq_count : entity work.freq_counter port map(clk_in => clk_in, reset => reset, freq_out => count); freq <= 1000000000 / count; -- 将计数器输出转换为频率 freq_out <= freq; end architecture behave; ``` 5. 将VHDL文件编译成一个可综合的网表,并将网表下载到FPGA开发板上进行测试。 以上是一个简单的数字频率计设计,你可以根据需要进行修改和优化。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值