QuartusII 波形仿真出现error,无法显示输出结果

刚刚接触EDA,跟大家简单分享以下我在用QuartusII的过程中遇到的一些问题

问题:我在Wafeform仿真中出现了modelsim仿真error,计算机告诉我可执行的ModelSim在规定的路径中无法被寻找到。

思路:将让规定的文件路径包含可执行的ModelSim。

下面直接上步骤:

运行:

运行的结果:

希望对遇到同样问题的友友有所帮助!

  • 2
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值