Linux 下玩转Quartus II,脚本控制编译过程

本文介绍了如何在Linux系统中利用Quartus II的Tcl脚本功能进行自动化编译。参考了Quartus II Scripting Reference Manual和官方Tcl例子,同时提到了命令行模式下的关键操作,如使用quartus_pgm进行JTAG下载,quartus_map进行项目分析与综合。此外,还推荐了一篇关于创建可移植工程的QuartusII命令行操作博文。
摘要由CSDN通过智能技术生成


Quartus II支持Tcl脚本,所以脚本手册必须得熟悉.

                        Quartus II Scripting Reference Manual:http://www.altera.com/literature/manual/TclScriptRefMnl.pdf


Altera官方一个Tcl练习:

                        Quartus II Tcl Examples: http://www.altera.com/support/examples/tcl/tcl.html


QuartusII 命令行相关文档:

                        Command-Line Scripting(V12.0):http://www.altera.com/literature/hb/qts/qts_qii52002.pdf


Quartus II 设置文件(qsf)参考手册:

                         Quartus setting file reference manual:http://www.altera.com/literature/manual/mnl_qsf_reference.pdf


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值