FPGA再入门——SPI IP核调用

型号:XC7K325TFFG900+AD9235-1

软件:Vivado 2019.2及其对应的Vitis

按照上篇博客中提到的block design的方法,新增SPI IP核,需要注意的是不能使能STARTUP原语(如下图所示),否则SCLK不是从IO出而是从CCLK出。

标准模式下,IO0和IO1引脚是单向的,分别对应着MOSI和MISO;ext_spi_clk可以保持与axi_aclk/axi4_aclk一致,而且ext_spi_clk用于产生SCLK,两者之间的关系如下:

ext_spi_clk = SCLK×Frequency Ratio

其中ext_spi_clk的最大值如下表所示: 

 Vitis中的C程序是从同事处拷贝的,SPI均已写好,对我调试来说难度不大。但还是卡住了好久,因为AD9235-1的软件导出的寄存器值不对,重新启动AD9235-1的软件,重新完成配置再导出,只有一个有问题,某个PLL寄存器全部power down了。

SPI写函数功能说明:

#define XSpi_WriteReg(BaseAddress, RegOffset, RegisterValue) \
	XSpi_Out32((BaseAddress) + (RegOffset), (RegisterValue))

BaseAddress为SPI核的基地址,RegOffset为SPI核中寄存器的地址,RegisterValue为要写入的数据。向XSP_DTR_OFFSET寄存器中写入数据,即SPI的MOSI向从设备发送数据。

  • 0
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
FPGA(Field-Programmable Gate Array)是一种可编程逻辑器件,可以根据用户的需要进行灵活的硬件设计和开发。在FPGA开发中,IP核(Intellectual Property Core)扮演着非常重要的角色。 IP核是指已经设计好并且经过验证的可复用硬件模块,可以被集成到FPGA的设计中。通过使用IP核开发者可以快速构建复杂的硬件功能,减少重复设计工作,提高开发效率。 使用IP核进行FPGA开发需要以下几个步骤: 1. 硬件需求分析:根据开发需求,分析确定所需要的IP核功能和接口,如通信模块、图像处理模块等。 2. IP核选择:根据功能需求,在FPGA开发工具中选择合适的IP核。常见的FPGA开发工具有Xilinx Vivado、Altera Quartus等。 3. IP核配置:根据实际应用需求,对所选的IP核进行参数配置和接口定义。开发工具提供了直观的界面,方便开发者进行配置。 4. IP核连接:将所选的IP核与其他硬件模块进行连接,以构建完整的系统。可以通过开发工具提供的图形化界面或者HDL(硬件描述语言)编程的方式进行连接。 5. 系统验证和调试:完成IP核的连接后,进行系统级的验证和调试工作。通过仿真和实际测试,确保IP核在整个设计中的正确性和稳定性。 6. 部署到FPGA:验证通过后,将设计好的IP核生成位流文件(bitstream)并下载到目标FPGA中。 通过使用IP核开发者可以快速构建复杂的FPGA设计,并且随着技术的不断发展,可以在开发工具中获得越来越多的IP核选择。同时,FPGA开发也需要熟悉硬件设计和IP核的原理,以及掌握相关的开发工具和调试方法,才能高效地进行设计和开发工作。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值