自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(255)
  • 收藏
  • 关注

原创 32位MIPS CPU处理器Quartus软件verilog语言

名称:32位MIPS CPU处理器Quartus软件verilog语言软件:Quartus语言:Verilog要求:设计MIPS处理器,支持MIPS指令的CPU1. 设计说明本设计为32位MIPS处理器,完全支持[MIPS手册上]上的所有核心指令。系统框图如下:运行周期(a) 当时钟触发程序计数器(PC)时,帮助从模块read_instruction中获取下一条指令。

2024-03-31 23:11:59 341

原创 RISC五级流水线CPU设计verilog仿真

名称:RISC_v五级流水线CPU设计verilog仿真软件:Quartus/modelsim语言:verilog本文实现的CPU是一个五级流水线的精简版CPU(也叫PCPU,即pipeline),包括IF(取指令)、ID(解码)、EX(执行)、MEM(内存操作)、WB(回写)。指令集:RISC指令集大小:2^5 = 32数据宽度:16bit数据内存:2^8×16bit = 256个16bit指令内存:2^8×16bit = 256个16bit通用寄存器:8×16bit。

2024-03-29 23:51:59 864

原创 基于python的一箭穿心图案绘制

基于python的一箭穿心图案绘制1、代码文件代码2、使用方法:1.安装好python(下载python一键安装即可)2.打开电脑左下角,输入cmd打开命令窗口3.输入python运行命令:python + 代码路径 即可。

2024-02-29 22:54:29 205

原创 基于python的英文词汇量检测模型四六级英语测单词代码

3.输入python运行命令:python + 代码路径 即可。基于python的英文词汇量检测模型四六级英语测单词代码。1.安装好python(下载python一键安装即可)2.打开电脑左下角,输入cmd打开命令窗口。

2024-02-29 22:53:31 368

原创 设计python代码,自动绘制小猪佩奇的图案

3.输入python运行命令:python + 代码路径 即可。1.安装好python(下载python一键安装即可)设计python代码,自动绘制小猪佩奇的图案。2.打开电脑左下角,输入cmd打开命令窗口。用python绘制小猪佩奇。

2024-02-29 22:22:59 164

原创 1/100秒计时控制器Verilog代码远程云端平台Quartus

/(3) 能够支持计时器启动、停止和复位操作。. stopwatch_Millisecond(stopwatch_Millisecond),//10毫秒。. stopwatch_Millisecond(stopwatch_Millisecond),//10毫秒。. start_stop_key(start_stop_key),//启动,暂停。. stopwatch_second(stopwatch_second),//秒。. stopwatch_minute(stopwatch_minute),//分。

2024-01-14 23:10:52 867

原创 数字钟设计Verilog代码远程云端平台Quartus

名称:数字钟设计Verilog代码远程云端平台Quartus软件:Quartus语言:Verilog代码功能:数字钟设计要求:1.由100MHZ的系统时钟分频得到秒时基2.对秒时基计数,在数码管上显示小时,分钟和秒的计时信息。3.可对分和时进行校准使用BTN1和BTN0两个按键完成校准。其中BTN1用于切换三种模式:正常计时,分校准,时校准、在校准时或分模式时,相应的地位数码管要闪烁BTN0案件用于在校准时,调整具体的计数值。

2024-01-14 23:09:54 970

原创 8X8点阵显示控制Verilog代码远程云端平台Quartus

名称:8X8点阵显示控制Verilog代码远程云端平台Quartus软件:Quartus语言:Verilog代码功能:8X8点阵显示控制可以控制点阵图案移动移动方向可以是上下左右本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图5. 管脚分配6. 仿真文件(VWF)7. 仿真图部分代码展示://显示8X8rst,//复位key_left,//左key_right,//右。

2024-01-14 23:08:38 403

原创 电子琴设计Verilog代码远程云端平台Quartus

名称:电子琴设计Verilog代码远程云端平台Quartus软件:Quartus语言:Verilog代码功能:电子琴要求能切换手动弹奏和自动播放模式手动弹奏模式下 分高低两个音域 可通过 1 2 3 4 5 6 7共7个按键,可通过14个按键来弹奏 且通过一个显示模块显示按键。播放模式下可播放储存的音乐。本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图5. 管脚分配。

2024-01-13 23:20:49 434

原创 电子琴设计Verilog代码远程平台Quartus

名称:电子琴设计Verilog代码远程平台Quartus软件:Quartus语言:Verilog代码功能:电子琴设计手动弹奏和自动演奏本系统主要由音频发生模块,键盘控制模块和存储器模块组成。选择手动弹奏模式按键时,按下音符键后就会选通相应的频率输出;选择自动演奏模式按键时,储存器会将编写好的音乐信息依次取出,去选通各个对应的频率输出,实现自动演奏。音频发生器由分频器来实现,可产生16个频率,这些频率经放大后驱动喇叭,从而发出声音。

2024-01-13 23:19:35 478

原创 传送带控制器Verilog代码远程云端平台Quartus

forward_key(forward_key),//前进。. right_led(right_led),//右转灯。. right_key(right_key),//右转。. left_led(left_led),//左转灯。. left_key(left_key),//左转。. back_key(back_key),//后退。. state(state),//输出状态控制。. state(state)//输出状态控制。output left_led,//左转灯。

2024-01-13 23:18:10 339

原创 电子万年历Verilog代码远程云端平台Quartus

名称:电子万年历Verilog代码远程云端平台Quartus软件:Quartus语言:Verilog代码功能:任务及要求1设计内容和要求(包括设计内容、主要指标与技术参数)设计内容:基于FPGA的电子万年历的设计。设计要求(1)设计语言为 Verilog.(2)设计基于FPGA的电子万年历的设计:要求可以显示年、月、日;同时可以整年、月日:注意闰年。(3)采用层次化的设计。本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件。

2024-01-13 23:16:56 364

原创 服务员呼叫器Verilog代码远程云端平台Quartus

名称:服务员呼叫器Verilog代码远程云端平台Quartus软件:Quartus语言:Verilog代码功能:1.设计内容和要求(包括设计内容、主要指标与技术参数) 设计内容:基于FPGA的服务员呼叫器的设计。设计要求(1)设计语言为 Verilog,硬件开发平台为 Spartan-3E开发板;(2)设计基于FPGA的服务员呼叫器的设计,要求有4名服务员A、B、C、D,每次呼叫由数码管显示倒计时5秒;每名服务员被抢到后,由数码管显示当前已被分配工作的服务员,一共进行5轮。

2024-01-12 22:59:50 914

原创 自助点餐机Verilog代码远程云端平台Quartus

名称:自助点餐机Verilog代码远程云端平台Quartus软件:Quartus语言:Verilog代码功能:自助点餐机设计,商品分为7、9、14元三种套餐,并且只接受5、10元两种面值的纸币:可以一次点多份:用数码管显示购买数量、所需金额、找零金额,当金额不足时通过蜂鸣器提示本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件2. 程序文件3. 程序运行4. 管脚分配5. RTL图6. 仿真图仿真文件(VWF文件)仿真图。

2024-01-12 22:58:10 944

原创 电子计时器Verilog代码远程云端平台Quartus

outputreg [3:0]time_msec_shi,//毫秒十位。outputreg [1:0]time_min_ge,//分钟个位。outputreg [3:0]time_sec_shi,//秒十位。outputreg [3:0]time_msec_ge//毫秒个位。outputreg [3:0]time_sec_ge,//秒个位。if(time_ctrl)begin//高电平保持,低电平计数。

2024-01-12 22:56:56 360

原创 出租车计价设计VHDL代码AX301开发板Quartus

名称:出租车计价设计VHDL代码AX301开发板Quartus软件:Quartus语言:VHDL代码功能:具体如下:1.实现计费功能,计费标准为:按行驶里程计费,起步价为7元,并在车行3Km后按2元/Km计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。2.现场模拟功能:能模拟汽车起动、停止、暂停以及加速等状态。3.用BCD码将车费和路程显示出来。本代码已在AX301开发板验证,开发板如下,其他开发板可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译。

2024-01-12 22:54:45 895

原创 出租车模拟计费Verilog代码AX301开发板Quartus

名称:出租车模拟计费Verilog代码AX301开发板Quartus软件:Quartus语言:Verilog代码功能:出租车模拟计费系统的实现设计一个模拟的出租车计费系统,能显示里程和费用。要求:(1)自行设定车速,根据计时转换为里程,里程显示方式为XXX,单位为km;(2)费用的计算及显示,出租车的起步价设为7.00元,当里程小于2km时,按起步价计算费用;当里程大于2km时,按下式计算费用:费用=里程×1.80元/km。费用显示方式为XXX,单位为元;

2024-01-12 22:53:32 985

原创 多功能数字钟设计verilog代码AX301开发板Quartus

名称:多功能数字钟设计verilog代码AX301开发板Quartus软件:Quartus语言:Verilog代码功能:多功能数字钟设计1.正常的显示时间的小时、分钟和秒的计时显示;2.该数字钟应具有校时电路功能(即当时间不准时,可以通过调整时间的分钟和小时来进行校时)。3.该数字钟应该具有秒表的功能。4.该数字钟应该具有闹钟的功能(即能任意设置闹钟的时间,也能实现闹钟的关闭功能)。5.该数字钟应该具有定时(倒计时)的功能。

2024-01-11 23:08:59 1414

原创 自动售货机仿真操作设计verilog代码AX301开发板Quartus

名称:自动售货机仿真操作设计verilog代码AX301开发板Quartus软件:Quartus语言:Verilog代码功能:具有单价0.5、1.0、1.5、2.0元的4种商品可以通过按键选择商品可以投币投币后按键确认购买购买成功后找零,若投币不足则直接退钱,不出商品找零时间3秒本代码已在AX301开发板验证,开发板如下,其他开发板可以修改管脚适配:Testbench整体仿真图消抖仿真图显示模块仿真图控制模块仿真图部分代码展示://按键 低电平有效。

2024-01-11 23:07:44 898

原创 洗衣机工作模式Verilog代码AX301开发板Quartus

名称:洗衣机工作模式Verilog代码AX301开发板Quartus软件:Quartus语言:Verilog代码功能:设置洗衣机工作模式:0——洗涤+漂洗;1——洗涤;2——轻柔洗涤;

2024-01-11 23:06:29 420

原创 脉冲按键电诂按键显示器VHDL代码AX301开发板Quartus

SEG : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)--数码管段选。tele_num => tele_num,--电话号码。tele_num => tele_num--电话号码。要求:(1)能准确地反映按键数字。number => number,--输入数量。number => number,--输入数量。rst_n => '1',--复位。

2024-01-11 23:04:58 1016

原创 出租车计价设计VHDL代码AX301开发板Quartus

名称:出租车计价设计VHDL代码AX301开发板Quartus软件:Quartus语言:VHDL代码功能:1. 实现计费功能,计费标准为:按行驶里程计费,起步价为7元,并在车行3Km后按2元/Km计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。2. 现场模拟功能:能模拟汽车起动、停止、暂停以及加速等状态。3. 用BCD码将车费和路程显示出来。本代码已在AX301开发板验证,开发板如下,其他开发板可以修改管脚适配:1. 工程文件2. 程序文件3. 编译。

2024-01-11 23:03:47 836

原创 出租车模拟计费Verilog代码AX301开发板Quartus

/(2)费用的计算及显示,出租车的起步价设为7.00元,当里程小于2km时,按起步价计算费用;费用显示方式为XXX,单位为元;(2)费用的计算及显示,出租车的起步价设为7.00元,当里程小于2km时,按起步价计算费用;. charging_money_out(charging_money_out),//费用。. charging_money_out(charging_money_out)//费用。//要求:(1)自行设定车速,根据计时转换为里程,里程显示方式为XXX,单位为km;

2024-01-11 23:02:23 990

原创 地铁售票设计Verilog代码AX301开发板Quartus

名称:Quartus地铁售票设计Verilog代码AX301开发板软件:Quartus语言:Verilog代码功能:主要内容:1选择1号或者2号地铁线,每条线都有3元,4元,5元二种票价2选择买张或者两张。乘客在操作失误时,可以进行清零然后重新购买。3投钱可以选择1元,5元,10元,4比较投钱和票价。投钱和票价相等时,出票投钱大于票价时,找零,出票:投钱小于票价时,询问是否继续投钱,如果不继续投钱,则不出顒并且退钱。5显示选择几号线,选择的票价,购买几张投入的钱数以及找零的钱。

2024-01-11 23:00:37 1049

原创 数字式竞赛抢答器Verilog代码Quartus软件AX301开发板

名称:Quartus数字式竞赛抢答器Verilog代码AX301开发板(文末获取)软件:Quartus语言:Verilog代码功能:数字式竞赛抢答器设计设计一个可容纳四组参赛者同时抢答的数字抢答器要求:(1)能断第一抢答者并报警指示抢答成功,其他组抢答均无效(2)设计倒计时时钟,若提前抢答则对相应的抢答组发出警报。本代码已在AX301开发板验证,开发板如下,其他开发板可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图5. 管脚分配7. 仿真图整体仿真图。

2023-12-29 23:45:51 762

原创 序列检测器Verilog代码Quartus软件AX301开发板

名称:Quartus序列检测器Verilog代码AX301开发板(文末获取)软件:Quartus语言:Verilog代码功能:用两个按键,一个输入0,一个输入1序列是11010011检测到序列亮灯本代码已在AX301开发板验证,开发板如下,其他开发板可以修改管脚适配:部分代码展示://顶层模块input clk,//时钟input rst_n,//复位input key0_in,//按键0input key1_in,//按键1output led //led指示灯。

2023-12-29 23:44:44 357

原创 频率仿真Verilog代码Quartus软件AX301开发板

名称:Quartus频率仿真Verilog代码AX301开发板(文末获取)软件:Quartus语言:Verilog代码功能:6位频率计设计,测量范围1Hz~999999KHz频率分2档,1Hz和1KHz,当检测到频率大于1MHz时,自动切换到KHz单位本代码已在AX301开发板验证,开发板如下,其他开发板可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图5. 管脚分配7. 仿真图整体仿真图分频模块计数模块锁存模块单位选择模块超量程指示模块。

2023-12-29 23:43:28 415

原创 电梯控制器的模拟实现Verilog代码Quartus软件AX301开发板

名称:Quartus电梯控制器的模拟实现Verilog代码AX301开发板软件:Quartus语言:Verilog代码功能:电梯控制器的模拟实现设计一个模拟电梯控制器,完成4个楼层的向上载客服务。要求:(1)电梯初始位置停靠在一楼,四个按键代表4个目标楼层,运输完成后回到一楼。(2)显示电梯的运行情况、电梯所在楼层等。本代码已在AX301开发板验证,开发板如下,其他开发板可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图5. 管脚分配7. 仿真图。

2023-12-29 23:41:59 682

原创 可变模式计数器设计Verilog代码Quartus AX301开发板

名称:Quartus可变模式计数器设计Verilog代码AX301开发板(文末获取)软件:Quartus语言:Verilog代码功能:可变模式计数器设计设计模为4、8、12、16的可变计数器。要求:(1)计数周期为0.5秒;(2)用按键选择计数模式;和记数。(3)用LED灯表示当前计数模式本代码已在AX301开发板验证,开发板如下,其他开发板可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图5. 管脚分配7. 仿真图整体仿真图分频模块控制模块显示模块。

2023-12-29 23:40:01 533

原创 简易乐曲发生器VHDL代码Quartus AX301开发板

名称:Quartus简易乐曲发生器VHDL代码AX301开发板(文末获取)软件:Quartus语言:VHDL代码功能:12、简易乐曲发生器利用音名与频率的关系制作简易乐曲发生器,要求能循环播放一首乐曲,并显示乐曲演奏时对应的音符。本代码已在AX301开发板验证,开发板如下,其他开发板可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. 管脚分配5. RTL图7. 仿真图整体仿真图频率控制字产生模块音乐控制模块显示模块部分代码展示:--音乐播放器。

2023-12-29 23:38:33 475

原创 8X8点阵显示控制Verilog代码Quartus远程云端平台

名称:8X8点阵显示控制Verilog代码Quartus远程云端平台(文末获取)软件:Quartus语言:Verilog代码功能:8X8点阵显示控制可以控制点阵图案移动移动方向可以是上下左右本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图5. 管脚分配6. 仿真文件(VWF)7. 仿真图部分代码展示://显示8X8input rst,//下降沿复位。

2023-12-28 23:33:28 716

原创 自动存储箱Verilog代码Quartus远程云端平台

名称:Quartus自动存储箱Verilog代码远程云端平台(文末获取)软件:Quartus语言:Verilog代码功能:任务及要求:1.设计内容和要求(包括设计内容、主要指标与技术参数)设计内容:基于FPGA的自动存储箱的设计。设计要求:+3(1)设计语言为 Verilog;(2)设计基于FPGA的自动存储箱的设计,共有5个存储箱,当按下存物按键后,系统自动分配个存储箱并用数码管显示当前分配的序号;当满箱时,系统不再分配,并要求数码管显示“F”

2023-12-28 23:32:11 887

原创 微波炉控制器Verilog代码Quartus远程云端平台

名称:Quartus微波炉控制器Verilog代码远程云端平台(文末获取)软件:Quartus语言:Verilog代码功能:微波炉控制器设计具有复位、设置时间和档位的功能可以控制启动可暂停设置完成后开始倒计时结束时有提示,有档位指示灯本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图6. 仿真图整体仿真图状态控制模块时间设置模块显示模块部分代码展示://微波炉顶层模块。

2023-12-28 23:30:43 912

原创 四位电子密码锁Verilog代码QuartusII远程平台

名称:电子密码锁Verilog代码远程云端平台(文末获取)软件:Quartus语言:Verilog代码功能:电子密码锁密码有四位(为二进制)有个能随机产生四位二进制数的程序作为密码,按一个按键就可以产生这个随机密码,利用另外四个按键开锁,有5次机会,都输入错误后蜂鸣器报警。有五个显示管,一个显示剩余输入密码的次数,另外四个显示输入的密码。本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图。

2023-12-28 23:29:40 688

原创 数字密码锁Verilog代码Quartus远程云端平台

名称:数字密码锁Verilog代码Quartus远程云端平台(文末获取)软件:Quartus语言:Verilog代码功能:电子密码锁通过开关控制是否开始输入密码可以通过按键控制输入密码密码输入错误则报警输入密码10秒内没有开锁则报警开锁后数码管显示OP内置初始密码1234本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图5. 管脚分配7. 仿真图整体仿真图状态控制模块仿真图。

2023-12-28 23:27:26 383

原创 电子密码锁Verilog代码Quartus远程云端平台

名称:Quartus电子密码锁Verilog代码远程云端平台(文末获取)软件:Quartus语言:Verilog代码功能:电子密码锁通过开关控制是否开始输入密码可以通过按键控制输入密码密码输入错误则报警输入密码10秒内没有开锁则报警开锁后数码管显示OP内置初始密码4321本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件2. 程序文件3. 程序编译4. RTL图5. 管脚分配7. 仿真图整体仿真图分频模块仿真图。

2023-12-28 23:25:55 967

原创 水晶球的设计Verilog代码Quartus远程云端平台

名称:Quartus水晶球的设计Verilog代码远程云端平台(文末获取)软件:Quartus语言:Verilog代码功能:设计内容:基于FPGA的水晶球的设计。设计要求:(1)设计语言为 Verilog(2)设计基于FPGA的水晶球的设计,要求能够存贮4首歌曲,并通过扬声器播放,播放过程中可以通过按键控制暂停,同时用数码管显示当前播放歌曲序号,并每首歌曲需要配合不同颜色的彩灯(3)采用层次化的设计。

2023-12-28 23:24:35 376

原创 信号发生器Verilog代码Quartus远程云端平台

名称:Quartus信号发生器Verilog代码远程云端平台软件:Quartus语言:Verilog代码功能:1.设计内容和要求(向括设计内容、主要标与技术参数设计内容:基于FGA的PWM信号发生器的设计设计要求:(1)设计语为erlo硬件开发平为发(2)设计基于FPGA的PM信号发生器的 产生P波并可以调占空比、如率,并用逻辑分析仪(3)采用层次化的设计本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件2. 程序文件。

2023-12-28 23:23:05 404

原创 智能抢答器Verilog代码Quartus远程云端平台

名称:Quartus智能抢答器Verilog代码远程云端平台软件:Quartus语言:Verilog代码功能:设计要求 (1)设计语言为Veri1og,硬件开发平台为 Spartan-3E开发板。数码管显示倒计时5秒;每名选手抢到后由数码管显示其得分增加1,一共进行 (2)设计基于FPGA的智能抢答器的设计,要求有四名参赛选手,每次抢答由5轮 (3)采用层次化的设计。本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:1. 工程文件2. 程序文件。

2023-12-28 23:18:26 979

原创 出租车模拟计价器Verilog代码Quartus远程云端平台

名称:Quartus出租车模拟计价器Verilog代码远程云端平台(文末获取)软件:Quartus语言:Verilog代码功能:基于Verilog HDL的出租车模拟计价器设计设计要求:(1)基于QuartusII软件环境下,使用Verilog为设计语言,利用远程云端硬件实验平台完成设计;(2)1.设计一个出租车模拟计价器,要求行程≤4km,且等待时间≤2min,则起步费为8元。2.若行程≥4km,按1km/1元计费,等待累计时间≥2min时,按1min/1元计费。

2023-12-28 23:16:39 973

基于FPGA的序列检测器.rar

基于FPGA的序列检测器

2023-12-23

基于FPGA的误码检测.rar

基于FPGA的误码检测

2023-12-23

基于FPGA的数字秒表设计.rar

基于FPGA的数字秒表设计

2023-12-23

基于FPGA的交通信号灯设计.rar

基于FPGA的交通信号灯设计

2023-12-23

基于FPGA的spwm产生.rar

基于FPGA的spwm产生

2023-12-23

基于FPGA的spi通信接口设计.rar

基于FPGA的spi通信接口设计

2023-12-23

基于FPGA的64位8级流水线加法器.rar

基于FPGA的64位8级流水线加法器

2023-12-23

DS1302实时时钟芯片控制代码verilog,代码注释全面

实时时钟操作 实时时钟,英文全称为Real_Time Clock,简称RTC,是一种计时器,能对年、月、日、时、分、秒进行准确的计时。我们的开发板上安装了一款由美国DALLAS公司生产的DS1302实时时钟芯片,并配装了一个纽扣电池作为芯片的备用电池。 //--DS1302操作,演示读写寄存器 module DS1302 ( Clk,//--输入时钟 Rst,//--复位信号 CE,//--片选 Sclk,//--时钟 IO,//--数据 DialSwitch,//--是否初始化时间0//初始化,1-不初始化,读取上次时间 // LED1, txd_out ); input Clk,Rst,DialSwitch; output CE,Sclk,txd_out; output reg LED1; inout IO; reg [7:0] lcd_data; reg lcd_e,lcd_rw,lcd_rs; reg RWFlag;//--0//read,1//写 reg StartFlag;//--开始信号 reg [7:0] Cmd

2023-11-22

PS-2接口VHDL代码-键盘解码-逐行解释

PS-2接口VHDL代码-键盘解码-逐行解释

2023-11-09

syn_cnter_4_ok_四位计数器.rar

syn_cnter_4_ok_四位计数器

2023-10-31

PWM_ok_PWM产生器.rar

PWM_ok_PWM产生器

2023-10-31

sipo8_ok_串并转换器.rar

sipo8_ok_串并转换器

2023-10-31

odd_div_ok_通用奇数分频器.rar

odd_div_ok_通用奇数分频器

2023-10-31

seq-sig-generator-ok-序列发生器.rar

seq_sig_generator_ok_序列发生器

2023-10-31

piso8_ok_并串转换器.rar

piso8_ok_并串转换器

2023-10-31

left-shifter-loop-ok-循环左移寄存器.rar

left_shifter_loop_ok_循环左移寄存器.rar

2023-10-30

gen-div-ok-通用偶数分频器.rar

gen_div_ok_通用偶数分频器.rar

2023-10-30

full-subtracter4-ok-全减器.rar

full_subtracter4_ok_全减器.rar

2023-10-30

full-add4-ok-4位全加器.rar

full_add4_ok_4位全加器.rar

2023-10-30

FF-D-ok-D触发器.rar

FF_D_ok_D触发器.rar

2023-10-30

频率幅值可调波形发生器 1、输出方波;三角波;正弦波;阶梯波 2、可以控制波形频率、幅值 3、可以选择输出哪种波形 4、

频率幅值可调波形发生器 1、输出方波;三角波;正弦波;阶梯波 2、可以控制波形频率、幅值 3、可以选择输出哪种波形 4、编写testbench,使用modelsim仿真

2024-01-14

基于VHDL的波形发生器

基于VHDL的波形发生器

2024-01-13

基于FPGA与DS18B20温度传感器的通信实现.rar

基于FPGA与DS18B20温度传感器的通信实现

2023-12-23

基于FPGA的信号发生器.rar

基于FPGA的信号发生器

2023-12-23

基于FPGA的数字滤波器.rar

基于FPGA的数字滤波器

2023-12-23

基于FPGA的通信信号源设计.rar

基于FPGA的通信信号源设计

2023-12-23

基于FPGA的实现一款简易电子密码锁.rar

基于FPGA的实现一款简易电子密码锁

2023-12-23

基于FPGA的任意波形发生器.rar

基于FPGA的任意波形发生器

2023-12-23

基于FPGA的任意四位除法器.rar

基于FPGA的任意四位除法器

2023-12-23

基于fpga的会议发言限时器.rar

基于fpga的会议发言限时器

2023-12-23

基于FPGA的频率计与串口通信.rar

基于FPGA的频率计与串口通信

2023-12-23

基于FPGA的飞机的小游戏.rar

基于FPGA的飞机的小游戏

2023-12-23

基于FPGA的出租车计费系统设计.rar

基于FPGA的出租车计费系统设计

2023-12-23

基于FPGA的电压表与串口通信.rar

基于FPGA的电压表与串口通信

2023-12-23

基于fpga的波形发生器设计.rar

基于fpga的波形发生器设计

2023-12-23

基于FPGA的LED数码管控制系统设计.rar

基于FPGA的LED数码管控制系统设计

2023-12-23

基于FPGA的I2C控制器设计.rar

基于FPGA的I2C控制器设计

2023-12-23

基于FPGA的LCD1602的流动显示VHDL.rar

基于FPGA的LCD1602的流动显示VHDL

2023-12-23

基于FPGA的DDS任意波形输出.rar

基于FPGA的DDS任意波形输出

2023-12-23

基于FPGA的fir滤波器设计.rar

基于FPGA的fir滤波器设计

2023-12-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除