FPGA之verilog学习第一天(时分秒数字时钟)

本文介绍了使用Verilog在FPGA上实现一个时分秒数字时钟的方法。通过三个计数器分别对秒、分钟和小时进行计数,当达到预设值时进行重置,从而实现时钟的循环显示。代码中包含三个always块,分别对应秒、分、时的计数逻辑。
摘要由CSDN通过智能技术生成
module data_clock
(
input i_sys_clk,
input i_sys_rstn,
output [3:0] shi,
output [5:0]fen,
output [5:0] miao
);


//miao cnt;
reg [5:0] miao_cnt;
always@(posedge i_sys_clk or negedge i_sys_rstn)begin
if(i_sys_rstn==1'b0)begin
miao_cnt <= 6'd0;
end
else if(miao_cnt==6'd60)begin
miao_cnt <= 6'd0;
end
else begin
miao_cnt <= miao_cnt + 1'b1;
end
end


//fen cnt;
reg [5:0] fen_cnt;
al
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值