FPGA之verilog第一天学习(00011101序列产生器)

该Verilog代码模块`serilize_gen`用于生成00011101的序列信号。在每个系统时钟上升沿,它会进行内部计数,并在特定计数值上更新输出`data_out`。模块包括一个复位逻辑,当计数达到10时复位。序列通过一个状态机实现,根据计数器`cnt`的值输出相应的序列位。
摘要由CSDN通过智能技术生成
module serilize_gen(
input i_sys_clk,
output data_out
);


//产生复位;
reg [3:0] rst_cnt = 4'd0;
always@(posedge i_sys_clk)begin
if(rst_cnt == 4'd10)begin
rst_cnt <= 4'd10;
end
else begin
rst_cnt <= rst_cnt;
end
end


wire rstn;
assign rstn = (rst_cnt == 4'd10);


//00011101序列循环产生
reg [2:0]cnt;
always@(posedge i_sys_clk or negedge rstn)begin
if(rstn==1'b0)begin
  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值