【FPGA】基于vivado的AM调制与解调(verilog)(四、测试方案篇)

测试方法仅供参考

1.测试不同频率调制信号、载波信号下的调制输出和解调输出。

多选几组,仿真。
如:
调制信号为1kHz,载波信号为1MHz,调制深度为0.9
调制信号为8kHz,载波信号为8MHz,调制深度为0.5
调制信号为10kHz,载波信号为10MHz,调制深度为0.7

2.计算调制深度实际值,与理论值比较,并计算误差。

调制信号为1kHz,载波信号为1MHz,调制深度为0.9
找到调制信号最大值处:
在这里插入图片描述
放大找到对应已调信号的最大值30114:
在这里插入图片描述
找到调制信号最小值处:
在这里插入图片描述
放大找到对应已调信号的最大值1638:
在这里插入图片描述
计算调制深度
在这里插入图片描述
计算误差
在这里插入图片描述

3.用matlab进行谱分析

用matlab读取文本文件,计算并绘制调制输出信号时域图和频域图:
在这里插入图片描述
用matlab读取文本文件,计算并绘制解调输出信号时域图和频域图:
在这里插入图片描述
.m文件:

clear all;
X = textread('demodulated_out.txt');
Fs = 100000000;%采样频率
dt = 1/Fs;%采样周期
L = length(X);%数据长度
t=0:dt:dt*(L-1);%时间
n = 2^nextpow2(L);%求得最接近数据长度的2^n
Y = 2*abs((fft(X,n))/n);%求频谱
 
subplot(2, 1, 1);
plot(t,X);
title('时域图');
xlabel('t');
ylabel('X');
subplot(2, 1, 2);
plot(Fs*(0:(n/2))/n,Y(1:n/2+1));
title('频谱图');
xlabel('f');
ylabel('|Y(f)|');
% axis([7500000 8500000 0 15000]);
% axis([0 1000000 0 150]);
  • 5
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值