Quartus II 13.1的安装与基础实践

安装

参考资料:
Quartus:https://blog.csdn.net/qq_43279579/article/details/115158140
Modelsim:https://blog.csdn.net/qq_43279579/article/details/115176423

审核不让通过,原因是版权问题,安装过程参考上面的博客,不再赘述

遇到的问题

不知怎么回事,个人安装的时候没有安装上devices
解决办法:把最开始下载下来的文件里面的这个QDZ文件复制到一个比较熟悉的目录
在这里插入图片描述
然后打开tools–install devices,选择你放置qdz文件的目录
在这里插入图片描述
接下来安装上就可以了

实践

1.在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
创建一个波形文件。
在这里插入图片描述
右键空白处,insert–symbol

选择nand2,二个输入的与非门,依次添加四个and2和一个非门not
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
左边放两个input,右边放两个output
然后连线
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

接下来编译
结果未报错

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
配置D,Q,-Q
在这里插入图片描述
在这里插入图片描述
Q与-Q相反

在这里插入图片描述
设置好后开始模拟
在这里插入图片描述

2.在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证,与1做比较;

D触发器在symbol中搜dff
在这里插入图片描述
简单的就接好了
在这里插入图片描述
编译通过
在这里插入图片描述
照着之前的步骤就行
在这里插入图片描述
模拟结果
在这里插入图片描述

3.Quartus-II用Verilog语言写一个D触发器

参考博客:https://blog.csdn.net/qq_40243295/article/details/82994328
new一个Verilog HDL File文件
在这里插入图片描述
电路定义代码部分:

module D_EF(Q,D,CLK)
input D,CLK;
output Q;
reg Q;                //在always语句中被赋值的信号要声明为reg类型寄存器定义
always @ (posedge CLK)
       begin Q <= D; end
endmodule

testbench代码:

module dff_tb;
	reg clk,data_in;
	wire data_out;
	dff u1(data_in,clk,data_out);
	always #5 clk=~clk;
	initial
	begin
		clk=0;
		#20 data_in=1;
		#20 data_in=0;
		#20 data_in=1;
		#15 data_in=0;
		#15 data_in=1;
	end
endmodule

模拟效果:
在这里插入图片描述

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值