奇偶检测模块

奇偶检测

奇检测:输入的数据里有奇数个 1 就输出 1;
偶检测:输入的数据里有偶数个 1 就输出 1;

`timescale 1ns/1ns
module odd_sel(
	input [31:0] bus,
	input sel,
	input clk, output check
);
reg check1;
wire sum;
assign sum = ^bus;
assign check = sel?(sum?1:0):(!sum?1:0);
endmodule

仿真波形
在这里插入图片描述更换 assign check = sel?(sum?1:0):(!sum?1:0);

always@(negedge clk)
	begin
		case(sel)
		0: if(!sum)
		check1 <= 1;
	else
		check1 <= 0;
		1: if(sum)
		check1 <= 1;
	else
		check1 <= 0;
	endcase
	end
assign check = check1;

与 assign 比较输出会延时一个 clk
在这里插入图片描述

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值