四选一多路器

在这里插入图片描述
四个不同的 2 位二进制数据流 d0:11
d1:00
d2:01
d3:10
sel [1:0] : 00 选择 d3 输出
01 选择 d2 输出
10 选择 d1 输出
11 选择 d0 输出

`timescale 1ns/1ns
module mux4_1(
input [1:0]d1,d2,d3,d0,
input [1:0]sel, output[1:0]mux_out
);
reg [1:0] mux_out1;
always@(*)
	begin
		case(sel)
			2'b00:mux_out1 = d3;
			2'b01:mux_out1 = d2;
			2'b10:mux_out1 = d1;
			2'b11:mux_out1 = d0;
		endcase
	end
assign mux_out = mux_out1;
endmodule

仿真波形:
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值