二选一多路选择器

二选一多路选择器
Verilog 编码:
module mux_two(
    input clk,
    input a,
    input b,
    input sel,
    output out
);
assign out = sel?a:b;
endmodule
仿真波形:

将 assign out = sel?a:b;替换成 always

always@(posedge clk)
        begin
                if(sel)
                        begin
                                out <= a;
                        end
                else
                        begin
                                out <= b;
                        end
        end
仿真波形:
仿真图可以看出来 out 在 sel 转变后,输出会延迟一个 clk
因为 always@(posedge clk)当 sel 转变后这个 clk 回去做判断,下一个 clk 才会输出相应的 out
  • 4
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值