异步复位的串联 T 触发器

RTL 平面级视图
在这里插入图片描述
verilog 代码

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst, output reg q
);
reg tf_1;
always@(posedge clk or negedge rst)
	begin
		if (!rst)
			begin
				tf_1 <= 0;
			end
		else if(data)
			begin
				tf_1 <= !tf_1;
			end
		else
			begin
				tf_1 <= tf_1;
			end
	end
always@(posedge clk or negedge rst)
	begin
		if (!rst)
			begin
				q <= 0;
			end
		else if(tf_1)
			begin
				q <= !q;
			end
		else
			begin
				q <= q;
			end
	end
endmodule

仿真波形:
在这里插入图片描述
T 触发器在 CP 时钟脉冲控制下,根据输入信号 T 取值的不同,具有保持和翻转功能的触发器,即当 T=0 时能保持状态不变,当 T=1 时一定翻转的电路。

Data 数据流输入做第一个 T 触发器的 T 取值;
Tf_1 为第一个 T 触发器的输出,同时用于第二个 T 触发器的 T 取值;
起始 reset 将第一个 T 触发器 tf_1 和第二个 T 触发器 q 置零。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值