自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 资源 (17)
  • 收藏
  • 关注

原创 Xilinx仿真库文件永久添加到ModelSim的默认库

为了提高仿真效率,有时需要单独运行ModelSim,但是ModelSim默认库中没有Xilinx的仿真库,导致不能使用ModelSim单独进行仿真。本文介绍一种方法,可以将Xilinx仿真库文件永久添加到ModelSim的库中,每次打开ModelSim就会默认添加Xilinx所有的仿真库文件,不需要每次单独添加。前期准备1、Xilinx相关软件安装正确,并且已经生成仿真库文件(具体的生成仿真...

2019-08-25 23:52:24 1775

原创 Verilog TCL使用总结

1.Simulation启动仿真:launch_simulation关闭仿真:close_sim2.Synthesis复位综合:reset_run synth_1(启动综合必须复位Synthesis);启动综合:launch_runs synth_1 -jobs 23.保存ILA抓取的数据将抓取的ILA数据保存为csv格式:write_hw_ila_data -csv_...

2019-08-08 23:19:10 2078

原创 Verilog系统函数的应用总结

$random:产生32位的随机数,它是一个带符号的整形数;产生有符号随机数:data = $random % 10 ; //产生一个在-9—9范围的随机数;产生无符号随机数:data = {$random} % 10;//产生一个在0—9范围的随机数;...

2019-08-08 23:04:32 595

原创 Verilog实现16bits*16bits有符号型乘法(1)

实验目的1、利用纯逻辑实现16*16有符号型阵列乘法器;2、对比XilinxMultiplier IP纯逻辑实现16*16有符号型乘法器的资源使用情况及性能;源代码链接:https://download.csdn.net/download/flypassion/11470999 实现内容 1、纯逻辑实现16*16有符号型阵列乘法器(无流水) ...

2019-08-04 22:56:46 4591 3

原创 Verilog乘法的实现——几种使用多级流水实现方法对比(2)

实验目的研究实现不同级流水下Verilog实现16位有符号乘法器使用的资源情况。实验内容1.XilinxMultiplier IP配置成DSP实现(Multiplier Construction:Use Mults) Pipeline Stages:1 资源使用情况 Pipeline Stages:3 资源使用情况 Pipeline ...

2019-08-02 23:42:09 2263

原创 Verilog乘法的实现——Xilinx Multiplier IP研究(1)

实验目的Verilog实现乘法用多种方法,可以直接使用官方现成的IP,也可以自己写RTL代码。本系列研究Xilinx乘法器IP核的配置使用方法、不同配置下资源占用情况,以及和自己设计的RTL多级流水乘法器对比,本文只针对Xilinx Multiplier IP的使用情况和不同配置下资源占用情况进行分析,下一篇文章将对比不同流水情况下的情况。实验代码及测试代码:https://download...

2019-08-02 20:24:35 13713

iperf-3.1.3-win64-win32.zip

该软件可以进行网口带宽的测试,测试方法简单,具体使用方法见博文《iperf测试网口带宽》,根据实测,该软件使用简单方便。

2019-12-18

SystemResponse_Convloution.m

博文《通信原理及系统系列5—— 系统响应和卷积之间的关系(冲激响应、离散卷积、系统响应)》的仿真代码。

2019-12-08

SmoothFilt_V1_0.m

博文《FPGA系列1——串口接收信号去毛刺》Matlab仿真代码。实现信号去毛刺功能,指导FPGA代码的实现。

2019-11-17

MskModulation.m

博文《基于Matlab的MSK调制分析及代码实现》的Matlab仿真代码

2019-10-27

CRC24A_Encode.m

博文《基于Matlab的CRC24A的实现》的仿真代码,可指导FPGA实现CRC24A。

2019-10-15

FskModulation.m

博文《FSK调制_2FSK调制Matlab仿真》仿真代码,对比了连续相位调制和非连续相位调制

2019-10-14

UpConversion.m

博文《基于Matlab上变频的实现》的仿真代码,实现上变频。

2019-10-10

2FskModulation.m

博文《FSK调制分析——2FSK调制Matlab仿真》的仿真代码

2019-10-04

Real_Complex.m

博文《实信号和复信号频谱对比分析——实信号两个根谱线,复信号一根谱线》的Matlab代码

2019-10-04

m_Sequence.m

针对m序列伪随机码博文的Matlab代码(https://blog.csdn.net/flypassion/article/details/101617144)。

2019-09-28

Verilog实现16bits有符号型乘法_1_v1.0.zip

这个是Verilog实现16bits有符号型乘法_1版本代码的跟新,优于CSDN无法删除,所以将新版本上传。

2019-08-06

Verilog实现16bits有符号型乘法_1.zip

博文《Verilog实现16bits*16bits有符号型乘法(1)》的源代码

2019-08-04

Multiplier.zip

Verilog乘法的实现——Xilinx Multiplier IP研究(1)

2019-08-02

Syn_Asy_Rst.v

博文《Xilinx FPGA 复位信号知识点》的原始代码,综合时可选择注释不需要的部分。

2019-07-20

时域相关理论知识

详细介绍了时域相关的相关理论知识,帮助对时域相关的知识进行学习

2018-12-27

基于MATLAB的Filter使用,低通、带通和高通滤波器的仿真

基于MATLAB的Filter使用,低通、带通和高通滤波器的仿真。包括filter、ftt等函数的使用

2018-08-26

基于MATLAB的Filter使用,低通,带通和高通滤波器设计

基于MATLAB的filter的使用,分别仿真了低通、带通和高通滤波器,有助于分析滤波器的性能和实际使用。

2018-08-26

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除