VCS + DVE +VERDI

 

dump -add ./* (发现其实不需要)

run

all: filelist com_fsdb sim verdi
#---------------------------------------------------------------
filelist:
    find ../de ../dv -name "*.v" -o -name "*.sv" > filelist.f
#---------------------------------------------------------------
com_vpd:
    vcs -f filelist.f \
        -timescale=1ns/1ps \
        -full64 +v2k -sverilog -Mupdate +define+DUMP_VPD \
        -debug_all \
        |tee vcs.log
#---------------------------------------------------------------
com_fsdb:
    vcs -f filelist.f \
        -timescale=1ns/1ps \
        -full64 +v2k -sverilog -Mupdate +define+DUMP_FSDB \
        -debug_acc+all -debug_region+cell+encrypt \
        |tee vcs.log
#---------------------------------------------------------------
sim:
    ./simv |tee sim.log
#---------------------------------------------------------------
dve:
    dve -vpd vcdplus.vpd -script dve.tcl &
#---------------------------------------------------------------
verdi:
    verdi -f filelist.f -ssf tb_top.fsdb -play verdi.tcl &
#---------------------------------------------------------------
clean:
    rm -rf csrc DVEfiles novas* *.log simv* *fsdb* ucli.key *.vpd verdiLog

  • 3
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值