CC2530从睡眠到主动模式

  从PM1PM2到切换到PM0,可以使用睡眠定时器,因为睡眠定时器计时时间到后,MCU会产生睡眠定时器中断,电源模式会自动从PM1/PM2切换到PM0。在PM3下,睡眠定时器不起作用,因为32K的晶振都关闭了,故从PM3切换到PM0用的是外部IO中断。
  设置睡眠定时器的定时间隔这一步一定要在设置电源模式之前,因为进入睡眠后系统就不会继续执行程序了。读取睡眠定时器的当前计数值,顺序必须遵循读ST0 -> 读ST1 -> 读ST2的顺序;写入睡眠定时器的比较值,顺序必须遵循写ST2 -> 写ST1 -> 写ST0的顺序。ST的计数时钟是32.768K,向上计数,当定时器的计数值等于比较值时,产生中断。

#include <iocc2530.h>

#define uint unsigned int
#define uchar unsigned char
#define uint8 unsigned char
#define uint32 unsigned long

#define LED_ON  0
#define LED_OFF 1

#define gled    P1_0
#define rled    P1_1
#define crystal 0 /* 石英晶振 */
#define rc      1 /* RC晶振 */
#define s1      P0_1

void delay ( uint n ) {
   
    uint i, j;

    for ( i = 0; i < 50; i++ )
        for ( j 
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
以下是对提供的代码的注释: ``` module led8_module ( CLK, RSTn, LED_Out ); // 定义一个模块,包含 3 个端口:CLK,RSTn 和 LED_Out input CLK; // 输入端口 CLK input RSTn; // 输入端口 RSTn output [7:0]LED_Out; // 输出端口 LED_Out,为 8 位二进制数 parameter T10MS = 23'd1_250_000; // 定义一个参数 T10MS,为 23 位的十进制数 1_250_000 reg [22:0]Count; // 定义一个寄存器 Count,为 23 位的二进制数 reg [7:0]rLED_Out; // 定义一个寄存器 rLED_Out,为 8 位二进制数 always @ ( posedge CLK or negedge RSTn ) // 声明一个总是块,当 CLK 上升沿或 RSTn 下降沿时执行 if( !RSTn ) // 如果 RSTn 为低电平,执行以下操作 begin Count <= 23'd0; // 将 Count 寄存器清零 rLED_Out <= 8'b0000_0011; // 将 rLED_Out 寄存器赋值为二进制数 0000 0011 end else if( Count == T10MS - 1'b1) // 如果 Count 寄存器的值等于参数 T10MS 减 1 ,执行以下操作 begin Count <= 23'd0; // 将 Count 寄存器清零 if(rLED_Out == 8'b0000_0000 ) // 如果 rLED_Out 寄存器的值为二进制数 0000 0000,执行以下操作 rLED_Out <= 8'b0000_0011; // 将 rLED_Out 寄存器赋值为二进制数 0000 0011 else // 否则执行以下操作 rLED_Out <= {rLED_Out[1:0],rLED_Out[7:2]}; // 将 rLED_Out 寄存器的值右移 2 位并在左侧添加原来的后两位 end else // 如果 Count 寄存器的值不等于 T10MS 减 1,执行以下操作 Count <= Count + 1'b1; // 将 Count 寄存器加 1 assign LED_Out = rLED_Out; // 将 rLED_Out 寄存器的值赋给 LED_Out 输出端口 endmodule module water ( CLK,RSTn,LED_Out ); // 定义一个模块,包含 3 个端口:CLK,RSTn 和 LED_Out input CLK; // 输入端口 CLK input RSTn; // 输入端口 RSTn output [7:0]LED_Out; // 输出端口 LED_Out,为 8 位二进制数 led8_module U1 ( // 实例化 led8_module 模块 .CLK(CLK), // 输入端口 CLK .RSTn(RSTn), // 输入端口 RSTn .LED_Out(LED_Out) // 输出端口 LED_Out,连接到顶层模块 ); endmodule ```

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值