FPGA给DSP6678复位

本文详细介绍了FPGA如何对DSP6678进行复位操作,包括复位时序图的解析,明确了信号线间隔时间为10ms,并提供了具体的复位源码实现。
摘要由CSDN通过智能技术生成

一.复位时序图


二.信号线间隔时间




间隔时间依次为 10ms

三.复位源码

`timescale 1ns / 1ps
//
// Company: 
// Engineer: fengzihao
// 
// Create Date: 2018/01/13 14:21:35
// Design Name: 
// Module Name: DSP_6678_0
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//




module DSP_6678_0(
input reset,
input clk_50m,


input     DSPA_RESETSTAT,
  • 2
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值