FPGA设计中的复位

遵循 Xilinx 建议的复位准则:
a) 尽量少使用复位;
b) 必须复位时采用同步复位;
c) 确保使用高电平有效的复位;
d) 避免异步复位(RAMB 和 DSP48 模块中不支持异步复位)。

1、尽量共用复位、使能等控制信号,以便在综合实现时,寄存器能放在同一个Slice中,充分利用资源。

2、少用复位信号,可使设计在实现时更加灵活,不会被限定在一种实现方法,充分利用资源。

3、FPGA器件寄存器默认值为0,若复位仅用于初始化寄存器,可不使用复位。关于复位更详细的设计可参考

《wp272-Get Smart About Reset_ Think Local, Not Global》。

4、当外部给出的复位信号为异步复位时,可通过以下设计转换为同步复位:

(图片来自高亚军老师xinlinx教学视频) 

该博客早期写在AET blog上,为方便查看,统一转一份到CSDN。

FPGA设计中的复位-Bryan-电子技术应用-AET-中国科技核心期刊-最丰富的电子设计资源平台

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值