10、锁存器与触发器基本原理

先说明一下,PPT中的仿真代码是有问题的!!!

老师挖了坑,不要抄~~~

1、实验目的

掌握锁存器与触发器构成的条件和工作原理

掌握锁存器与触发器的区别

掌握基本 SR 锁存器、门控 SR 锁存器、D 锁存器、SR 锁存器、D 触发器的基本功能

掌握基本 SR 锁存器、门控 SR 锁存器、D 锁存器、SR 锁存器存在 的时序问题

2、实验内容

实现基本 SR 锁存器,验证功能和存在的时序问题

实现门控 SR 锁存器,并验证功能和存在的时序问题

实现 D 锁存器,并验证功能和存在的时序问题

实现 SR 主从触发器,并验证功能和存在的时序问题

实现 D 触发器,并验证功能

3、实验过程

基本 SR 锁存器:

1.创建工程并绘制原理图

建立工程 MyLATCHS,创建原理图文件 SR_LATCH.sch,绘制原理 图。

2.原理图仿真

建立基准测试波形文件 SR_LATCH_sim,输入仿真激励信号,在 Process 窗口中选择 Simulate Behavioral Model,可以得到仿真波 形图:

 

 

门控 SR 锁存器:

1.绘制原理图

创建原理图文件 CSR_LATCH.sch,绘制原理图。 

2.原理图仿真

建立基准测试波形文件 CSR_LATCH_sim,输入仿真激励信号,在 Process 窗口中选择 Simulate Behavioral Model,可以得到仿真波 形图: 

 

D 锁存器:

1.绘制原理图

创建原理图文件 D_LATCH.sch,绘制原理图。 

2.原理图仿真

建立基准测试波形文件 D_LATCH_sim,输入仿真激励信号,在 Process 窗口中选择 Simulate Behavioral Model,可以得到仿真波 形图:

 

 

3.验证空翻

创建原理图文件 D_LATCH2.sch,绘制原理图。

 

 

 

更明显直接的空翻是这样的:

 

 

SR 主从触发器:

1.绘制原理图

创建原理图文件 MS_FLIPFLOP.sch,绘制原理图。

 

2.原理图仿真

建立基准测试波形文件 MS_FLIPFLOP_sim,输入仿真激励信号, 在 Process 窗口中选择 Simulate Behavioral Model,可以得到仿真 波形图: 

 

D 触发器:

1.绘制原理图

创建原理图文件 D_FLIPFLOP.sch,绘制原理图。 

2.原理图仿真

建立基准测试波形文件 D_FLIPFLOP_sim,输入仿真激励信号, 在 Process 窗口中选择 Simulate Behavioral Model,可以得到仿真 波形图:

 

 

 

4、实验结果

这里需要说明,非法输入是不可以出现的!!!

D触发器那个是工业上使用的,不需要掌握,本门课程说的D触发器是那个前面D锁存器,后面CSR锁存器的那个

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值