32bit存储器设计

                           实验二    存储器实验

1. 实验目的

1)   了解随机读写存储器RAM的组成原理及其使用方法;

2)   熟悉存储单元的工作原理;

3)   掌握RAM芯片读写控制的工作原理。

2. 实验原理

存储器实验电路及模块内部电路图如图2-2。每个1bit RAM是一个存储单元,它由RS触发器和控制门电路组成。

本实验的主要目的是通过向存储器的指定单元写入数据和从存储器的指定存储单元读出数据,进而掌握存储器的读写操作方法,了解存储器的使用特性。当SELECT=1时,R/W为高平,读出数据:R/W为低电平,写入数据。可把1bit RAM作位扩展和字扩展来构造大容量的存储器。

32bit存储器设计

2-2  实验电路及模块内部电路图

3. 实验内容

可参考下图验证RAM芯片读写控制。

 

32bit存储器设计

2-3  存储器读写控制图(此图有误,32bit74LS139输出要使用四个非门,1bitRS触发器要使用另外一个)

 

1:1bit电路中,当R/W0SELECT=1时写入数据。INPUT为数据输入端,数据通过电路保存在RS触发器中。后面的开关的作用相当于继电器,只有当SELECT为一时,开关才闭合。写入数据之后,当R/w1时,读出数据

32bit存储器设计

                      1bit实验电路图

2:8bit,input8位输入,out为八位输出。R/W集成在一根线上,select集成在一根线上。8bit是在1bit的基础上完成的,先要把1bit封装完成之后才能做到8bit32bit存储器设计

     8bit实验电路图

3:32bit是在8bit的基础上完成的,先对8bit封装之后,连续接48bit构成。

32bit存储器设计

                            32bit电路图

4:心得和总结

这个设计主要是电路的封装,完成1bit的电路封装之后,再作成8bit,之后再做成32bit。电路封装步骤:

1:加引脚

32bit存储器设计

选择绿色按钮之后。浏览文件即可。

存储器主要的原理就是通过RS触发器,将数据保存,再通过调节R/w控制输出。

32bit存储器设计




 

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: CPU设计部分实验五是关于存储器的实现。存储器是计算机中用于存储和提取数据的地方,它是计算机的重要组成部分。 在实验中,我们需要设计并实现一个存储器模块存储器模块是由多个存储单元构成的,每个存储单元可以存储一个固定大小的数据。我们可以通过地址来访问存储单元中的数据。在实验中,我们需要选择合适的存储器类型、存储单元容量和地址位数。 在设计存储器模块时,我们需要考虑以下几个方面。首先,我们需要确定存储器的类型。常见的存储器类型有随机存取存储器(RAM)和只读存储器(ROM)。RAM可以通过读写操作来存储和提取数据,而ROM只能读取数据。我们需要根据实验的需求选择合适的存储器类型。 其次,我们需要确定每个存储单元的容量。容量决定了每个存储单元可以存储的数据量。通常,存储单元的容量是以比特(bit)为单位进行衡量的。在实验中,我们需要根据数据的大小来选择合适的存储单元容量。 最后,我们还需要确定存储器的地址位数。地址位数决定了我们可以使用的地址数目。地址的位数决定了存储器的寻址范围。在实验中,我们需要根据存储器的容量和存储单元的大小来选择合适的地址位数。 通过设计和实现存储器模块,我们可以在CPU中完成数据的存储和提取操作。这对于计算机的正常运行是至关重要的。实验五的完成将有助于我们更好地理解存储器的工作原理和在计算机系统中的重要作用。 ### 回答2: CPU设计部分实验五是关于存储器实现的实验。存储器是计算机中用于存储指令和数据的硬件组件,它在CPU中起着重要的作用。 在这个实验中,我们需要实现一个简单的存储器模块存储器由一组连续的存储单元组成,每个存储单元可以存储一个固定大小的数据。我们需要使用Verilog HDL语言编写代码来描述存储器的行为。 首先,我们需要定义存储器的输入和输出端口。存储器的输入端口包括读写控制信号和地址信号,输出端口包括读取的数据。 然后,我们需要根据输入的控制信号和地址来实现存储器的功能。当读写控制信号为读取时,我们需要根据给定的地址从存储单元中读取相应的数据,并将其输出。当读写控制信号为写入时,我们需要将输入的数据写入到指定的地址。 为了实现存储器的功能,我们可以使用Verilog HDL中的内存模块。内存模块可以方便地实现存储器的读写操作。 最后,我们需要对实现的存储器模块进行验证。可以编写一些测试用例,并使用模拟器进行仿真,验证存储器的读写功能是否正确。 总结起来,CPU设计部分实验五是一个关于存储器实现的实验。通过实现一个简单的存储器模块,我们可以加深对存储器的理解,并掌握使用Verilog HDL语言进行硬件描述的技巧。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值