自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(242)
  • 资源 (5)
  • 收藏
  • 关注

原创 关于keil环境的 三个红点(备忘)

有几年没用keil了, 最近用了UV4,既熟悉又陌生,有个现象,有些文件和文件夹的左边标有3个小红点, 其意义为何, 就一时记不起了,其意义:“带有特殊选项(非默认选项)的文件或文件夹.”...

2012-03-14 15:08:39 1797

原创 PCB设计规范(Z)

Original Address: http://www.emsym.com/blog/?tag=%E8%BF%87%E5%AD%94%E5%B0%BA%E5%AF%B8PCB设计前准备1、准确无误的原理图。包括完整的原理图文件和网表,带有元件编码的正式的BOM。原理图中所有器件的PCB封装(对于封装库中没有的元件,硬件工程师应提供datasheet或者实物,并指定引脚的定义顺序)。2、提供PCB...

2012-03-09 15:46:12 997

原创 PCB设计过孔选用指导

名称孔径规则焊盘热焊盘反焊盘阻焊开窗简要说明VIA8-10G8182840NO用于10G信号VIA8-BGA8182828NO用于0.8mmbga区域VIA8-GEN818282813用于默认区域VIA8-BGA-A820(24)2828NO特殊过孔VIA8-BGA-FULL818828NO用于0.8mmbga区域VAI8-SAFE818287013安规专用过孔 VIA10-10G10223240...

2012-03-08 09:59:52 456

原创 关于 扇出 Fanout

数字系统中的扇出    在一些数字系统中,必须有一个单一的TTL逻辑门来驱动10个以上的其他门或驱动器。这种情况下,被称为缓冲器的驱动器可以用在TTL逻辑门与它必须驱动的多重驱动器之间。这种类型的缓冲器有25至30个扇出信号。逻辑反向器(也被称为非门)在大多数数字电路中能够辅助这一功能.在这里,扇出其实就是前级逻辑门驱动后级其它门电路或驱动器个数能力的衡量,通常用扇出系数来表示。比如某逻辑电路前级...

2012-02-13 12:17:36 2257

原创 ARM Cortex-M3相比于ARM其他系列微控制器的优势和特点

注:该文来源于网络,未仔细考证其来源,若有不当,还请知会ARM Cortex-M3相比于ARM其他系列微控制器,具有以下优势或特点1. **流水线+分支预测ARM Cortex-M3与ARM7内核一样,采用适合于微控制器应用的**流水线,但增加了分支预测功能。现代处理器大多采用指令预取和流水线技术,以提高处理器的指令执行速度。流水线处理器在正常执行指令时,如果碰到分支(跳转)指令,由于指令执行的顺...

2012-02-06 22:00:30 1077

原创 (Z)标准电阻值的来历及色环电阻值定义

Original address: http://www.ekswai.com/res.htm 刚参加工作的时候,记得有一次开发一款产品,BOM里有一个电阻我用了500Ω的电阻。后来采购告诉我没有500Ω的电阻,只有510Ω的,当时就奇怪为什么这么个整数的电阻就没有呢?后来就只用一些常用的电阻,但是对哪些电阻值有哪些电阻值没有还是有点稀里糊涂的。  美国电子工业协会定义了一个标准电阻值系统(其实这...

2012-02-05 00:16:44 680 1

原创 PADS2007中的层类型(plane type) 简介

所有平面层中包括非特殊层(非平面层NO PLANE,通常为走线ROUTING层)和特殊层(包括CAM平面层及SPLIT分割混合层).   1. No plane:通常指走线层,如Top   Bottom,以及中间走线层,以正片的形式输出   2. CAM plane:以负片的形式输出,层分割以2D线来实现,不用铺铜,通常用于电源层跟地层,且占用的数据量要小得多,但有一个缺点就是不会检查设计规则,即...

2012-01-27 22:59:03 431

原创 PADS各层的意义

PADS各层的意义、用途如下:TOP 顶层 - 用来走线和摆元器件,SMD的PCB元件及走线一般都放置在顶层。BOTTOM 底层 - 用来走线和摆元器件LAYER-3至LAYER-20 一般层,不是电气层,可以用来扩展层电气层,也可以用来做一些标示,比如出gerber做阻抗线的指示21solder mask top 阻焊层 (顶层露铜层,就是没有绿油覆盖)22paste mask bottom 底...

2012-01-14 09:46:54 1164

原创 设计时晶振的问题库(z)

Original Address:http://www.dptel.com/cn/Service.aspx?ClassID=47抖动与相噪有什么关系?相位噪声和抖动是对同一种现象的两种不同的定量方式(描述)。抖动是一个时域概念,单位是pS或fS。相位噪声是频率域的概念,相位噪声是用偏移频率fm处1Hz带宽内的矩形的面积, 与整个功率谱曲线下包含的面积之比表示的,单位为-dBC/Hz。OCXO的电压...

2011-12-31 13:59:00 292

原创 晶振测试与使用中的主要问题(z)

original address:http://www.dptel.com/cn/Service.aspx?ClassID=48晶振频率输出为什么时有时无或频率发生跳变?有如下几种情况会导致此情况:晶振供电系统存在问题。晶振输出端与其他走线距离过近,存在短路的可能。 晶振存在假焊的可能。晶体在生产过程中存在污染、电极银层不牢,导电胶开裂等工艺问题或水晶材料有问题。晶体DLD(激励)过大会导致晶体出...

2011-12-31 13:43:41 458

原创 晶振选型时的问题库(z)

Original address:http://www.dptel.com/cn/Service.aspx?ClassID=49稳定度、准确度、长期稳定度的主要区别是什么?通常人们说的稳定度指的是温度稳定度,因为温度稳定度是衡量晶振短期稳定性的最主要的指标。 准确度指的是在常温环境下晶振的输出频率fx和中心标称频率f0比较。公式如下:准确度 = (fx- f0) / f0。 影响准确度的主要因素有...

2011-12-31 13:42:32 454

原创 TTL and CMOS 的几个基本功能模块

摘自“阎石”的《数字电子技术》   

2011-12-23 11:11:06 217

原创 (原创) 对饱和状态NPN晶体管内部机制的理解分析

对饱和状态NPN晶体管内部机制的理解分析  转载请注明来源:http://keendawn.blog.163.com/blog/static/88880743201111223949730/ 我对NPN的饱和状态研究了之后, 并不满足教科书上对其外部状态和电压电流公式的描述. 教科书上一般都有对 放大状态的 共射NPN晶体管 内部载流子情况都有描述, 但不知为何, 都对饱和状态时的内部载流子情...

2011-12-22 15:18:12 2032

原创 三极管饱和时内部状态再探

看了很多说法,感觉都没有对三极管饱和时内部载流子的流向分析说清楚.......看来最基本的问题,深究起来才是最难的。在网上苦苦寻觅,找到eet论坛中的一个问答回复,算是勉强可以回答我这个疑问吧,如下:http://forum.eet-cn.com/FORUM_POST_10012_1200158575_0.HTM?jumpto=view_welcomead_forum_1324483377358 ...

2011-12-22 00:27:23 647 1

原创 谈晶体管的饱和状态和饱和压降

谈晶体管的饱和状态和饱和压降 原文很多笔误之处(我个人觉得,也可能是我理解不对),我已进行了修改. 众所周知,一个普通的双极型晶体管有二个PN结、三种工作状态(截止、饱和、放大)和四种运用接法(共基、共发、共集和倒置)。对这两个PN结所施加不同的电位,就会使晶体管工作于不同的状态:两个PN结都反偏——晶体管截止;两个PN结都导通——晶体管饱和:一个PN结正偏,一个PN结反偏——晶体管放大电路(...

2011-12-21 23:50:39 12890

原创 如何去掉 从网页上copy到word文档中之后 的背景颜色 (备忘)

这个背景颜色很讨厌,不能用设置“背景颜色”去除掉,网上寻找试验很久,发现下面3种方法可对付之:1、先复制到记事本,在从记事本复制到word。(最笨的方法,若文档中有非文字或想保留某些格式,就不行了。)2、粘帖的时候,用“编辑”菜单中的“选择性粘贴...”--“无格式文本”。(也会去掉所有的格式。)3、先粘帖好,然后选择文字,再通过如下菜单操作:     格式--边框和底纹--底纹选项卡--填充中选...

2011-12-21 23:11:47 544

原创 以太网RJ45 接线标准 线序(备忘)

RJ是Registered Jack的缩写,意思是“注册的插座”。在FCC(美国联邦通信委员会标准和规章)中的定义是,RJ是描述公用电信网络的接口,常用的有RJ-11和RJ-45,计算机网络的RJ-45是标准8位模块化接口的俗称。568A的排线顺序从左到右依次为:白绿、绿、白橙、蓝、白蓝、橙、白棕、棕。568B的排线顺序从左到右依次为:白橙、橙、白绿、蓝、白蓝、绿、白棕、棕。所谓的交叉线是指:一端...

2011-12-13 11:28:54 3394

原创 电容屏的保养知识(Z)

 

2011-12-12 09:42:53 76

原创 C语言运算符优先级 (备忘)

今天居然在优先级上栽了个跟头,浪费了大约1个小时时间,真是惭愧.....还是把这个东西记录一下, 不过以后还是注意多加括号.优先级运算符名称或含义使用形式结合方向说明1[]数组下标数组名[常量表达式]左到右()圆括号(表达式)/函数名(形参表).成员选择(对象)对象.成员名->成员选择(指针)对象指针->成员名2-负号运算符-表达式右到左单目运算符(类型)强制类型转换(数据类型)表达式...

2011-11-10 01:07:40 111

原创 MII/MDIO接口详解(转)

Original Address:http://dpinglee.blog.163.com/blog/static/144097753201041131115262/本文主要分析MII/RMII/SMII,以及GMII/RGMII/SGMII接口的信号定义,及相关知识,同时本文也对RJ-45接口进行了总结,分析了在10/100模式下和1000M模式下的设计方法。MII接口提供了MAC与PHY之间、...

2011-11-08 22:35:27 1843

原创 windows中 修改某种文件图标 的方法 (备忘)

某些文件类型在windows没有特别的图标显示,特别是对于工程师来说,在一个project文件夹下, 经常会有一堆相同文件名不同扩展名的文件, 当你要找其中一个时, 瞪大眼睛瞅半天. 比如我想在一个CPLD的工程里, 找jed烧写文件, 每次都很费事, 不如把它改个醒目点儿的图标!方法如下:我的电脑---》工具---》文件夹选项---》文件类型---》找到该类型文件---》高级---》更改图标...

2011-10-28 16:35:10 717

原创 (原创总结) 几种通信编码方式

1) 不归零制码(NRZ:Non-Return to Zero)    原理:用两种不同的电平分别表示二进制信息“0”和“1”,低电平表示“0”,高电平表示“1”。    缺点: a 难以分辨一位的结束和另一位的开始;               b 发送方和接收方必须有时钟同步;                c 若信号中“0”或“1”连续出现,信号直流分量将累加。2)  NRZ-Inverte...

2011-10-27 00:28:26 5970

原创 Quartus II 现有各版本之差异(方便选择), 以及 破解文件

参考了: http://www.cnblogs.com/crazybingo/archive/2011/07/26/2117105.html 《Quartus II 11.0 套件安装指南》现有(2011.10.23)的最新版本就是Quartus II 11.0,之前各版本软件之间的差异:1、Quartus II 9.1之前的软件自带仿真组件,而之后软件不再包含此组件,因此必须仿真要安装Model...

2011-10-23 19:50:28 7213

原创 Quartus II 订购版 和 网络版 软件功能 区别

参见: http://www.altera.com.cn/products/software/products/quartus2web/features/sof-quarweb_features.html免费的 Quartus II 网络版软件包括了 Quartus II 订购版软件的大部分功能,以及设计 Altera 最新 CPLD 和低成本 FPGA 系列所需的一切,它还支持 Altera 高...

2011-10-23 19:45:42 5239 1

原创 (Z)使用SignalTAP II为了避免某些节点被"弄丢", 必须要关闭的编译选项

Original address: http://blog.ednchina.com/riple/74835/message.aspx#以前遇到过几次类似问题,只知道关闭一下就可以通过,但是没搞清原理。在Altera的一篇文档里找到了答案: In the Settings dialog box, under the Compilation Process Settings section, sel...

2011-10-19 01:02:05 366

原创 (z)如何在SignalTAP II中保留特定节点

Original address: http://blog.ednchina.com/riple/74826/message.aspx在使用SignalTAP II的过程中,我经常发现一些用于调试的逻辑(比如调试用的计数器)会被优化掉,不能出现在调试波形中。在Altera的一篇文档中,发现了以下关键信息: In the logic synthesis stage, the Quartus II s...

2011-10-19 00:54:18 169

原创 分析PCB技术印制电路板的可靠性设计(z)

引言  目前电子器材用于各类电子设备和系统仍然以印制电路板为主要装配方式。实践证明,即使电路原理图设计正确,印制电路板设计不当,也会对电子设备的可靠性产生不利影响。例如,如果印制板两条细平行线靠得很近,则会形成信号波形的延迟,在传输线的终端形成反射噪声。因此,在设计印制电路板的时候,应注意采用正确的方法。  1 接地  地线设计在电子设备中,接地是控制干扰的重要方法。如能将接地和屏蔽正确结合起来使...

2011-10-17 20:39:59 330

原创 (原创总结) Quartus II 的在线调试方法

以前不大注意, Altera 在quartus里还是提供了很多在线调试的方法,在Quartus II Version 7.2 Handbook Volume 3: Verification中的Section V. In-System Design Debugging里分5章介绍了5种方法:1. Quick Design Debugging Using SignalProbe信号探针方式不影响原有的...

2011-10-13 23:47:40 2546

原创 (Z)托尼?施瓦茨:六大关键几乎可以改变一切

改变是一件很难的事。“新年决心”几乎都是以失败告终的。但是在我的公司The Energy Project,我们设计了一种经检验有效而持久的做出改变的方法,不管是对我自己的生活,还是对我们的客户来说,都很有效。   我们方法的理论基础是,人是习惯动物。我们行为的95%都是习惯性的,或者是对一个强烈的外部刺激的反应。只有5%的选择是有意识的、自主的选择。   1911年,数学家艾尔弗雷德.诺思.怀特海...

2011-10-10 23:02:47 201

原创 三种常用SoC片上总线的分析与比较 (Z)

摘要 随着集成电路设计技术的发展,在片上系统(SoC)中,越来越多地使用各种功能IP核部件构成系统。总线是这些部件连接的主要方式,目前有数家公司和组织研发了多种面向SoC设计的总线系统。本文介绍SoC中常用的三种片上总线AMBA、Wishbone和Avalon,分析和比较其特性,并针对其不同的特点阐述其使用范围。关键词 SoC 片上总线 AMBA Wishbone Avalon引言嵌入式系统是...

2011-09-01 16:46:30 2804

原创 (原创) 看电影 源代码 有感——量子力学的玄妙

有很多人说电影结尾停在那一吻就好了!我觉得正是那一吻之后的最后几分钟,让电影上升了一个档次! 向观众展示了一下量子力学的玄妙!(实际上美国人还拍过一些展示量子力学的电影,比如科恩兄弟的《严肃的男人》,但感觉源代码要更好一些。)只要了解了量子力学,就不会觉得源代码的最后几分钟不可思议!。    量子力学的东东,是不能用常规思维看推理的,即不能用因为所以这样的逻辑来推理。        它不是线性的,...

2011-08-31 10:48:00 956

原创 隐含马尔可夫模型在语言处理中的应用(Z)

Original address: http://www.google.com.hk/ggblog/googlechinablog/2006/04/blog-post_1583.html数学之美 系列三 -- 隐含马尔可夫模型在语言处理中的应用2006年4月17日 上午 08:01:00发表者:吴军,Google 研究员前言:隐含马尔可夫模型是一个数学模型,到目前为之,它一直被认为是实现快速精确的...

2011-08-29 00:01:19 101

原创 函数可重入性及编写规范

一、可重入函数1)什么是可重入性?可重入(reentrant)函数可以由多于一个任务并发使用,而不必担心数据错误。相反, 不可重入(non-reentrant)函数不能由超过一个任务所共享,除非能确保函数的互斥(或者使用信号量,或者在代码的关键部分禁用中断)。可重入函数可以在任意时刻被中断,稍后再继续运行,不会丢失数据。可重入函数要么使用本地变量,要么在使用全局变量时保护自己的数据。2)可重入函数...

2011-08-18 12:10:41 111

原创 诺贝尔化学奖得主田中耕一的故事

以下文章转自互联网,原作者未知。====================诺贝尔化学奖得主田中耕一给我们的启示对于日本来说,2002年是一个诺贝尔奖大丰收年。继00、01连获诺贝尔化学奖后,02年竟双获物理奖和化学奖。尤为引人瞩目的是化学奖获得者田中耕一。田中是总部设在京都的岛津制作所的普通工程师。岛津制作所是一家生产科学测试仪器的公司。学物理、化学和生化等专业的人也许有闻其名,但在日本该公司只能算...

2011-08-18 08:47:48 588 2

原创 时序约束基础 和 quartusII 中的设置

时序约束目的:   一、提高设计的工作频率   二、获得正确的时序分析报告(STA:静态时序分析)常用的时序概念:   周期,   最大时钟频率、   时钟建立时间、时钟保持时间、   时钟到输出延时、管脚到管脚延时、   Slack和时钟偏斜(Skew).周期:如图1是周期示意图,当计算出Tperiod,那么当然fmax=1/Tperiod,fmax是显示设计最重要的性能指标之一。时钟建立时间:...

2011-08-16 15:11:50 2406 1

原创 如何在FPGA设计环境中加时序约束 SDC (Z)

如何在FPGA设计环境中加时序约束    在给FPGA做逻辑综合和布局布线时,需要在工具中设定时序的约束。通常,在FPGA设计工具中都FPGA中包含有4种路径:从输入端口到寄存器,从寄存器到寄存器,从寄存器到输出,从输入到输出的纯组合逻辑。 通常,需要对这几种路径分别进行约束,以便使设计工具能够得到最优化的结果。下面对这几种路径分别进行讨论。从输入端口到寄存器:这种路径的约束是为了让FPGA设计工...

2011-08-16 14:50:48 1571

原创 做好FPGA设计的五项基本功 (Z)

成为一名说得过去的FPGA设计者,需要练好5项基本功:仿真、综合、时序分析、调试、验证。需要强调的一点是,以上基本功是针对FPGA设计者来说的,不是针对IC设计者的。对于IC设计,我不懂,所以不敢妄言。对于FPGA设计者来说,练好这5项基本功,与用好相应的EDA工具是同一过程,对应关系如下:1. 仿真:Modelsim, Quartus II(Simulator Tool)2. 综合:Quartu...

2011-08-16 14:42:26 245

原创 什么是时序收敛

通俗地讲,就是 满足设计时序要求,即电路能在要求频率下正常工作。集成电路设计中的时序收敛一般指前后端设计时序一致。即前端给出的网表能运行在多少频率,后端完成布局后电路也能运行到该频率。主要原因是前端综合或时序分析时没有精确的线和CELL延迟信息,这样就容易造成和布局后的时序不收敛。随着工艺的进步,线延迟占主导地位,时序收敛问题越来越严重。根本的解决方法是前后端的设计流程整合起来,如PC(物理综合)...

2011-08-15 10:15:29 2573

原创 我想去北京(转载)

我想去北京        这个世界上曾经有三种人,去过北京的人(甲),听人介绍过北京但没有去过北京的人(乙),从来没有去过北京也不知道北京样子的人(丙)。    甲曾经同乙讲过了北京的美丽和样子,而且还拿出地图给乙看,并且很详细的介绍了去北京的路线等等,其实甲无非是想让乙也同样走他曾经走过的路,但是乙很懒而且很贫嘴,所以从来没有真正的走上去北京的道路,但乙很好奇,所以收集了非常多的北京的地图,照片...

2011-08-12 14:37:37 1124

原创 android 铃声位置

来电铃声:在SD卡上任意位置创建新文件夹命名为"ringtones",把音频文件(MP3、wav、ogg等格式)扔进去。通知铃声:在SD卡上任意位置创建新文件夹命名为"notifications",把音频文件(MP3、wav、ogg等格式)扔进去。闹钟铃声:在SD卡上任意位置创建新文件夹命名为"alarms",把音频文件(MP3、wav、ogg等格式)扔进去。然后重启一下机器,在设置里就能看到自己...

2011-08-08 12:37:00 401

GFP、VCAT和LCAS技术资料

GFP、VCAT、LCAS资料不多,中文的更少。 这个可以看看。

2009-10-22

熟悉linux的系统的安全和优化

熟悉linux的系统的安全和优化 故名思议,书名已经讲的很清楚了,烦人的20字

2009-06-22

嵌入式linux开发

嵌入式Linux实时操作系统 国防科大电子科学与工程学院嵌入式系统开放研究小组的讲座

2009-06-22

Verilog大量例程

Verilog大量例程,值得看看。有兴趣就看看吧!

2008-11-13

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除