注意:count记到24而不是49。(到24时翻转才能构成一个周期)
实验目的
使用VHDL语言设计一个8位的序列脉冲信号发生器(要求产生信号11001010),连接自定义分频器,进行波形仿真,后面要在示波器看到结果。
电路设计
50Mhz到1Mhz分频器设计:
简介:当50Mhz的时钟输入到上升沿时,count自增,rst_n为0清零count,当count到24时清零并使clk_1MHz翻转。
8位计数型序列脉冲信号发生器设计:
简介:序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号,能产生这种信号的逻辑器件就称为序列信号发生器或序列发生器。在我的设计中,clr为低电平有效的清零端,clk为CP信号输入端。在clk为上升沿时,count自增,直到”111”时又回到”000”。
P2过程根据count的不同值给sout赋值,p3过程根据clr和count给起始标志位sync赋值。
senqgen8
-- 相关库和软件包 library and package
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
-- 实体 entity
ENTITY senqgen8 is -- 实体名与文件名相同
PORT(
clk,clr: IN STD_LOGIC;
sout:out STD_LOGIC;
sync:out STD_LOGIC --这里没分号
);
END ENTITY senqgen8;
-- 结构体 architecture
ARCHITECTURE bhv of senqgen8 is
signal count :std_logic_vector(2 downto 0);
BEGIN
p1:process(clk,clr)
begin
if clr='0' then --异步清零
count<="000";
else
if (clk'event and clk='1') then --上升沿跳变
if count="111" then
count<="000";
else
count<=count+1;
end if;
end if;
end if;
end process;
p2:process(count)
begin
case count is
when "000"=>sout<='1';
when "001"=>sout<='1';
when "010"=>sout<='0';
when "011"=>sout<='0';
when "100"=>sout<='1';
when "101"=>sout<='0';
when "110"=>sout<='1';
when "111"=>sout<='0';
when others=> sout<='0';
end case;
end process;
p3:process(clk,clr,count)
begin
if clr='0' then --异步清零
sync<='0';
elsif count="000" then
sync<='1';
else
sync<='0';
end if;
end process;
end ARCHITECTURE bhv;
LowFreqClk
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY LowFreqClk IS
PORT(rst_n : IN STD_LOGIC;
Clk_50M : IN STD_LOGIC;
clk_1MHz : buffer STD_LOGIC);
END LowFreqClk;
ARCHITECTURE bhv OF LowFreqClk IS
BEGIN
PROCESS(Clk_50M,rst_n)
VARIABLE Count:INTEGER ;
BEGIN
IF rst_n = '0' then
Count := 0 ;
clk_1MHz <= '0';
ELSIF Clk_50M'EVENT AND Clk_50M='1' THEN
IF Count = 24 THEN
clk_1MHz <=not clk_1MHz;
Count := 0 ;
ELSE
Count := Count + 1;
END IF;
END IF;
END PROCESS ;
END bhv;