自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

元直的博客

不積跬步,無以至千里;不積小流,無以成江海。

  • 博客(30)
  • 资源 (15)
  • 收藏
  • 关注

原创 TCSH入门教程 --- tcsh从入门到精通

TCSH入门教程 简介:TCSH不同于其他的shell(例如bash),因为控制结构更符合程序设计语言的格式。例如TCSH的test条件的控制结构是表达式,而不是linux命令,得到的值是逻辑值true或false,TCSH的表达式与C语言中的表达式基本相同。一、TCSH变量、脚本、参数 用户可以在shell中定义变量、为变量赋值以及引用脚本参数。TCSH使用set、@、setenv...

2020-06-29 20:41:35 8893 3

原创 [Vmware][RHEL8]RedHat Enterprise 8.2网络配置

RedHat Enterprise 8.2网络配置一、RHEL网络配置方法 1.1、在rhel8上,有3种方法进行网络配置1. 手工配置ifcfg,通过NM来生效 2. 通过NM自带工具配ip,比如nmcli 3. 手工配置ifcfg,通过传统network.service来生效建议:推荐使用上述第1种网络配置方法(手工配置ifcfg,通过NM生效),因为这样既兼容了传统的ifcfg配置,又能熟悉nmcli。...

2020-06-27 19:46:38 1237

原创 Linux三剑客(grep、sed、awk)详解

Linux三剑客(grep、sed、awk)详解 前言:本文主要介绍一下Linux中最重要的三个命令,他们在业界被誉为“三剑客”,它们分别是:awk,sed,grep。它们三个侧能的功能不同,grep擅长查找功能,sed擅长取行和替换,awk擅长取列。下面分别介绍三者的主要option和应用实例。一、文本过滤工具grep(egrep)grep [OPTIONS] PATTERN [FILE...]1.1、主要Option-...

2020-06-27 18:51:34 2187

原创 [Verdi]Verdi使用方法技巧总结

Verdi使用方法技巧总结一、下载波形(Dump fsdb)1.1、基本用法$fsdbDumpfile(file_name, file_size(MB)) $fsdbDumpvars(depth, instance, options)参数介绍:Depth:0: all signals in all scopes. 1: all signals in current scope. 2: all sig...

2020-06-25 17:27:18 10145 1

原创 [xrun]Cadence Xcelium仿真环境搭建及常用Option总结

Cadence数字电路验证仿真工具IUS和IES 前言:Cadence,有两大验证仿真工具。一个是IUS,一个是IES。IUS是cadence以前的仿真工具,功能略弱。代表工具,ncverilog。官方介绍:IUS(incisive unified simulator)Cadence IUS allows to perform behavioral simulation on Verilog and VHDL code. IES是ca...

2020-06-25 14:16:33 17286 1

原创 Linux中将文本按行逆序输出的方法

linux中将文本按行逆序输出的方法一、使用awk命令看到好多博文里面的写法是有问题的,是一个死循环,第二字段中的while循环中的控制变量应该是“--i”,而不是大多博文中写的“-i”#!/bin/tcshawk ‘{lines[NR]=$0} END{i=NR; while(i>0){print lines[i];–-i} }’ file_name > new_filename二、使用VIM命令最简单...

2020-06-23 10:33:32 1965

原创 [DV]多通道DSB模块验证经验总结及对CRV思想的理解

DMA验证经验总结(一) 前言:在Chip中,我们经常会插入一些Debug module,例如DSB(Data Send Back);在通信系统中,用于把A-Die的数据回传到D-Die。但是需要回传的数据会有很多路,因此我们的DSB会有很多Channel。因此这类模块会有如下的信号组合:sw_trg[192:0];req[192:0];work[192:0];idle[192:0]; ...

2020-06-22 17:35:14 1028

转载 《深入浅出通信原理》连载

深入浅出通信原理》连载 前言:深入浅出通信原理(http://www.txrjy.com/thread-394879-1-4.html)从2010年4月8日开始在C114通信人家园上线连载,从多项式乘法讲起,一步一步引出卷积、傅立叶级数展开、旋转向量、三维频谱、IQ调制、数字调制等一系列通信原理知识,图文并茂,深入浅出,吸引了一大批网友跟帖学习,迄今为止访问量已经超过1200万人次。 本文作者:che...

2020-06-20 16:55:39 2242

转载 通信原理MATLAB仿真实验50例

通信原理MATLAB仿真实验100例一、文章出处1.1、本文转自 matchfilter的博客 原文链接:http://blog.sina.com.cn/s/articlelist_1503685077_2_1.html 二、实验目录 No. 实验 1 MATLAB的M文件产生ASK、FSK、PSK信… 2 Simulink仿真一个简单TD...

2020-06-20 16:19:04 21930 6

转载 通俗易懂的Makefile教程(七)

通俗易懂的Makefile教程(七) 前言:本文主要介绍make 的运行。一般来说,最简单的就是直接在命令行下输入make命令,make命令会找当前目录的makefile来执行,一切都是自动的。但也有时你也许只想让make重编译某些文件,而不是整个工程,而又有的时候你有几套编译规则,你想在不同的时候使用不同的编译规则,等等。本章节就是讲述如何使用make命令的。一、make的退出码make命令执行后有三个退出码:......

2020-06-20 12:03:29 807

转载 通俗易懂的Makefile教程(六)

通俗易懂的Makefile教程(五)一、使用条件判断 使用条件判断,可以让make根据运行时的不同情况选择不同的执行分支。条件表达式可以是比较变量的值,或是比较变量和常量的值。1.1、示例 下面的例子,判断$(CC)变量是否“gcc”,如果是的话,则使用GNU函数编译目标。libs_for_gcc = -lgnunormal_libs =foo: $(objects)ifeq ($(CC),gcc......

2020-06-20 09:51:04 708

转载 通俗易懂的Makefile教程(五)

通俗易懂的Makefile教程(五) 前言:本文将介绍在Makefile中使用变量的方法,在Makefile中的定义的变量,就像是C/C++语言中的宏一样,他代表了一个文本字串,在Makefile中执行的时候其会自动原模原样地展开在所使用的地方。其与C/C++所不同的是,你可以在Makefile中改变其值。在Makefile中,变量可以使用在“目标”,“依赖目标”,“命令”或是Makefile的其它部分中。 变量的命名......

2020-06-19 19:47:48 366

转载 通俗易懂的Makefile教程(四)

通俗易懂的Makefile教程(四) 前言:本节主要介绍书写命令,每条规则中的命令和操作系统Shell的命令行是一致的。make会一按顺序一条一条的执行命令,每条命令的开头必须以[Tab]键开头,除非,命令是紧跟在依赖规则后面的分号后的。在命令行之间中的空格或是空行会被忽略,但是如果该空格或空行是以Tab键开头的,那么make会认为其是一个空命令。我们在UNIX下可能会使用不同的Shell,但是make的命令默认是被“/bin/sh”......

2020-06-19 18:56:36 858

转载 通俗易懂的Makefile教程(三)

通俗易懂的Makefile教程(三)一、Makefile里有什么? Makefile里主要包含了五个东西:显式规则、隐晦规则、变量定义、文件指示和注释。1、显式规则。显式规则说明了,如何生成一个或多的的目标文件。这是由Makefile的书写者明显指出,要生成的文件,文件的依赖文件,生成的命令。2、隐晦规则。由于我们的make有自动推导的功能,所以隐晦的规则可以让我们比较粗糙地简略地书写Makefile,这是由make所支持的。......

2020-06-19 09:06:48 461

转载 通俗易懂的Makefile教程(二)

通俗易懂的Makefile教程(二)一、Makefile中使用变量 在上面的例子中,先让我们看看edit的规则:edit : main.o kbd.o command.o display.o /insert.o search.o files.o utils.occ -o edit main.o kbd.o command.o display.o /insert.o search.o files.o utils.o......

2020-06-18 19:55:01 512

转载 通俗易懂的Makefile教程(一)

通俗易懂的Makefile教程 最近在学习Linux下的C编程,买了一本叫《Linux环境下的C编程指南》读到makefile就越看越迷糊,可能是我的理解能不行。于是google到了以下这篇文章。通俗易懂。然后把它贴出来,方便学习。 后记,看完发现这篇文章和《Linux环境下的C编程指南》的makefile一章所讲述的惊人的相似,只是这篇文章从一个实例切入,在有些地方比较好理解。能让人看懂就是好文章。 ......

2020-06-18 19:47:09 826

原创 微波晶体管功率放大器简介

微波晶体管功率放大器 前言:微波晶体管功率放大器工作状态与低频晶体管功率放大器一样,有甲类、甲乙类、乙类及丙类四种工作状态,分类的方法也相同。不同的工作状态适用不同的需要。匹配电路元件可以是集中参数、半集中参数及分布参数。一、双极型晶体管功率放大器 BJT功率放大器按BJT不同的直流工作点划分为甲类、乙类、甲乙类和丙类四种工作状态,图7-10是BJT的伏安特性曲线。图中1号区域是发生雪崩击穿的区域;...

2020-06-17 21:09:48 2369

原创 [SV]SystemVerilog queue的初始化以及绿书上面的写法

SystemVerilog queue的初始化以及绿书上面的错误 前言:在《SystemVerilog验证 测试平台编写指南》(原书第二版)2009年9月第一版这本书的第29页,对队列的操作有如下的例子。int j = 1; q2[$] = {3, 4}; q[$] = {0, 2,, 5};放在小环境中验证,我们会发现上述写法并没有报语法错误,但是queue的初始化并没有实现,对于q2和q,它的szie任然是0。(上述验证使...

2020-06-17 09:21:19 4304 1

原创 [UPF]IEEE1801 UPF --- A brief introduction and overview

IEEE1801 UPF --- A brief introduction and overview一、What is UPF?二、Components of UPF 2.1、Power Domains2.2、Supply Sets2.3、Simstates2.4、Power State2.5、Power Switches2.6、Isolatio...

2020-06-13 20:43:14 2869 1

翻译 [UPF]理解UPF功率域和域边界

在先进工艺技术的低功耗之争中,统一功率格式(UPF)在降低动态和静态功率方面起着核心作用。较高的流程节点绝对具有吸引力,因为在较小的die区域中可以以较低的成本集成更多的功能。然而,在现实中,这是以成倍增加的泄漏功率为代价的。这是因为CMOS器件在源极和漏极端子之间创建传导通路所需要的最小门至源电压差(称为阈值电压)已经被推到了极限。泄漏功率是阈值电压的函数,在较小的器件几何形状,它对总能量...

2020-06-13 19:21:04 10138

转载 [UPF]低功耗(Low Power Design)and UPF介紹

低功耗(Low Power Design)and UPF介紹一、低功耗設計策略(Lower-power design strategies)1.1、動態和靜態功耗(Dynamic and Static Power) 1.1.1、Dynamic power Switch power:取决于时钟频率(每秒翻转的次数)以及开关活动性(在连续时钟周期实际反转的次数) Internal power: 当输入信号处于翻转的中间状态时在p和n之间.........

2020-06-09 15:57:07 24954 2

转载 [STM32]GPIO工作原理詳解(包括八种工作方式超详细分析及原理图)

[STM32]GPIO工作原理詳解(包括八种工作方式超详细分析及原理图)一、STM32的GPIO介绍 GPIO是通用输入/输出端口的简称,是STM32可控制的引脚。GPIO的引脚与外部硬件设备连接,可实现与外部通讯、控制外部硬件或者采集外部硬件数据的功能。 STM32F103ZET6芯片为144脚芯片,包括7个通用目的的输入/输出口(GPIO)组,分别为GPIOA、GPIOB、GPIOC、GPIOD、GPIOE、GPIOF、GPIOG,同时每组GPIO口组有1...

2020-06-09 11:53:07 3925

转载 [UPF]Synopsys推荐的UPF流程及Power Domain简介

Synopsys推荐的UPF及Power Domain流程简介 上次介绍了在当前先进制程下低功耗设计实现都需要UPF技术的支持,否则在功耗验证的时候将会很难验证并且对后续的综合和后端实现也带来了很大的困难和挑战。 既然当前低功耗设计实现都离不来UPF标准,那么什么是UPF呢?UPF的全称是统一功耗格式(Unified Power Format),其主要是由Synopsys推出的专门用于描述电路电源功耗意图的一种语言标准,它是Tcl语言的扩展,...

2020-06-09 10:47:03 12666 2

原创 [SV]SystemVerilog中的傳參數給帶時延task

SystemVerilog中的傳參數給帶時延task 在SystemVerilog中,傳給task的參數相當於一個inout信號,在調用該task的時候就會把參數的值latch下來,如果參數是隨時間變化的,並且task中會走時間,那麼調用該task將不能達到我們的預期。一、帶參數的task1.1、對於task而言,默認的參數是input方向task reg2pad_test(bit [31:0] pad_vector)...

2020-06-06 16:52:53 768

转载 国内芯片60个细分领域知名代表企业

国内芯片60个细分领域知名代表企业一、本文来源:全球半导体论坛

2020-06-04 21:26:49 629

原创 GPIO基礎知識以及上下拉電阻(Pull up/down)

GPIO基礎知識以及上下拉電阻(Pull up/down)一、GPIO的基础知识 GPIO(General Purpose I/O Ports)意思为通用输入/输出端口,通俗地说,就是一些引脚,可以通过它们输出高低电平或者通过它们读入引脚的状态-是高电平或是低电平。GPIO口一是个比较重要的概念,用户可以通过GPIO口和硬件进行数据交互(如UART),控制硬件工作(如LED、蜂鸣器等),读取硬件的工作状态信号(如中断信号)等。几乎所有的CPU、M...

2020-06-04 17:24:17 15555

原创 [Outlook模板]Outlook創建及引用模板

Outlook創建及引用模板 引言:工作中我們經常會遇到如下場景:經常需要發送一些固定格式的mail(如會議要約、會議記錄等等),如果每次發送都從0開始寫,那未免也太Low了。如果能調創建的模板,則只需要修改一下收件人、時間等重要信息就可以了。下面總結一下常用的方法。一、方法一:複製之前的郵件,修改1.1、實現方法: 将邮件标题、内容复制为文本文件,需要用到这封邮件时,打开Outlook,Ctrl+...

2020-06-03 19:25:06 1199

转载 半导体的光刻工艺全过程及技术讲解

半导体的光刻工艺全过程及技术讲解 本文出處:旺財芯片 光刻工艺是半导体制造中最为重要的工艺步骤之一。主要作用是将掩膜板上的图形复制到硅片上,为下一步进行刻蚀或者离子注入工序做好准备。光刻的成本约为整个硅片制造工艺的1/3,耗费时间约占整个硅片工艺的40~60%。 光刻机是生产线上最贵的机台,5~15百万美元/台。主要是贵在成像系统(由15~20个直 径为200~300mm的透镜组成)和定位系统(定位精度小...

2020-06-02 08:38:14 5801

转载 如何升级自己的思维,成为你想成为的自己? ----《少有人走的路》讀後感

如何升级自己的思维,成为你想成为的自己? ----《少有人走的路》讀後感第一單元 升級輪 最近读了"少有人走的路"一书。这本书是一个心理医生多年的从业日志。 反复阅读,颇有领悟。 而我却想到了一个新名词,叫升级论。 即人有很多的心理能量水平, 只有使自己的心理能级不停提升,才是通往幸福之路。心理能级是什么,它就...

2020-06-01 21:16:29 697 1

原创 阿里巴巴價值觀(企業文化),江湖传说——阿里巴巴土话102句完整版

江湖传说——阿里巴巴土话102句完整版 传说人类降生时,上天会赐予每个人一只美丽的盒子,里面装满了五彩斑斓的梦想。可是,许多人终其一生只能抚摸盒子上的花纹,却找不到那把钥匙。 其实,上天在世界的许多角落早已留下了一把无形的钥匙,有的是坚持、有的是诚信,有的是学习 ,还有的是一连串特别的暗语—江湖上传说很久的阿里土话。第一篇 梦想篇:同学,这块砖头是你掉的么? 引言:阿里巴巴的梦想源自长城上的一块砖头,我...

2020-06-01 20:46:12 7089

Unified Coverage Interoperability Standard (UCIS)

复杂电子电路的验证经常需要使用多种验证工具,可能来自多个供应商,并采用不同的验证技术。可能来自多个供应商,并采用不同的验证技术。统一覆盖互操作性标准 (UCIS) 提供了一个应用编程接口 (API),可在软件仿真器、硬件加速器、符号加速器、定制软件和系统之间共享覆盖率数据。软件模拟器、硬件加速器、符号模拟、形式工具或定制验证工具之间共享覆盖率数据。验证工具之间共享覆盖率数据。覆盖率数据通常在验证过程中使用,以确定在使用不同工具和方法时是否达到了验证目标。在使用不同工具和方法时,覆盖率数据通常用于确定是否达到了验证目标。现在,设计的复杂性不断增加,这就要求不同工具之间共享覆盖率数据,以实现数据在不同工具之间共享,以实现验证闭合。本文档定义了 UCIS,它是在多种工具间交换验证覆盖率的通用标准。本文档介绍了 UCIS,括 UCIS API、被称为 UCIS 数据库(UCIS 数据库)的覆盖率数据库的抽象表示。本文档介绍了 UCIS API、被称为 UCIS 数据库 (UCISDB) 的覆盖率数据库抽象表示法、基于文本的互操作性 XML 交换格以及如何使用 UCIS 开发统一覆盖率的示例。

2024-09-12

Accellera Standard for VERILOG-AMS - Analog and Mixed-signal Ext

Accellera Standard for VERILOG-AMS - Analog and Mixed-signal Extensions to Verilog HDL

2024-09-11

可综合的SystemVerilog,打破 SystemVerilog仅用于验证的神话

SystemVerilog 不仅仅用于验证!在最初设计 SystemVerilog 标准时,其主要目标之一是创建可综合的复杂硬件设计模型。SystemVerilog的主要目标之一是为复杂的硬件设计创建可综合的模型更准确、代码行数更少。这一目标已经实现,Synopsys 公司在 Design Compiler (DC) 和 Synplify-Pro 中出色地实现了 SystemVerilog。本文详细分析了用于 ASIC 和 FPGA 设计的 SystemVerilog 可综合子集,并介绍了使用这些构造的优势、 并介绍了与传统 Verilog 相比使用这些构造的优势。读者将读者将从本文中获得新的 RTL 建模技巧,这些技巧确实能以更少的代码行数进行建模,同时还能以更少的代码行数进行建模。同时还能减少潜在的设计错误,实现较高的综合结果质量 (QoR)。目标受众 参与 RTL 设计和综合的工程师,针对 ASIC 和 FPGA实现。

2024-09-11

AXI4 Spec中文版及常见问题解答

AXI4 Spec中文版及常见问题解答,涵盖了面试常见的一些问题及系统总线的研究。

2023-09-08

PCIe Spec中文版,PCIe系统体系结构导读

PCIe Spec中文版,PCIe系统体系结构导读,经典的PCIe入门资料,中文版

2023-09-08

uvm-testbench-gen

提供一个通过GUI方式自动生成UVM环境的工具,uvm_testbench_gen。工具来源于DVCon US 2022的一篇论文:Novel GUI Based UVM Test Bench Template Builder。uvm_testbench_gen使用python的tkinter编写,其主要目的在于提升UVM环境搭建效率,缩减前期验证环境准备时间。使用方法参见博文:https://xueying.blog.csdn.net/article/details/130598532?spm=1001.2014.3001.5502

2023-05-10

Verdi and Siloti Command Reference

Verdi用户手册最新版,基于Version U-2023.03, March 2023.Verdi主要用于生成fsdb模型,同VCS使用的vcd文件相比,verdi使用的fsdb相当于vcd文件经过霍夫编码压缩之后的精简版,可用于查看fsdb波形并追踪RTL代码。 虽说verdi、modelsim都是用来调试波形, modelsim与verdi相比,最大的缺点是波形不会全dump,wave窗口拉不全的话需要重新跑,而verdi边运行边查看。具体做法是,在使用tcl指令,在运行仿真时,设置仿真时间,每次run完毕之后,在nWave窗口中file——>自动加载(shift+L快捷键),异常方便!

2023-04-11

开源AMBA AHB VIP

当今的SoC芯片,普遍包含大量的工业标准接口同外围设备相连。 在验证设计过程中,这些接口被用于和测试testbench相连接。这些沟通桥梁,被称为 Verification IP (VIP) 的模块实现。Verification IP (VIP) 是一种特殊的IP Core。它将一个接口的BFM 和 Test Harness features 结合在一起。VIP在芯片验证中的应用场景众多,不管是在IP Level还是在SoC Level随处可见它的身影。拥有VIP,无惧芯片设计挑战。而在当今的芯片领域,用的最多的可能还是标准总线APB/AHB/AXI等。提到VIP,估计大家最先想到的就是Cadence和Synopsys了。没错,他们是目前最大的两家VIP提供商。不过,那是商业VIP。商业VIP虽好,但是贵呀!很多小公司的预算有限,很多时候都舍不得买,而我们个人想学习用那就更是买不起了。不过好消息来了,我找到一些高质量的开源AMBA VIP,今天就分享给大家,供大家学习研究那是妥妥的没问题,有的甚至都已经成功的被用到一些公司的验证环境了。

2022-12-09

开源AMBA APB VIP

当今的SoC芯片,普遍包含大量的工业标准接口同外围设备相连。 在验证设计过程中,这些接口被用于和测试testbench相连接。这些沟通桥梁,被称为 Verification IP (VIP) 的模块实现。Verification IP (VIP) 是一种特殊的IP Core。它将一个接口的BFM 和 Test Harness features 结合在一起。VIP在芯片验证中的应用场景众多,不管是在IP Level还是在SoC Level随处可见它的身影。拥有VIP,无惧芯片设计挑战。而在当今的芯片领域,用的最多的可能还是标准总线APB/AHB/AXI等。提到VIP,估计大家最先想到的就是Cadence和Synopsys了。没错,他们是目前最大的两家VIP提供商。不过,那是商业VIP。商业VIP虽好,但是贵呀!很多小公司的预算有限,很多时候都舍不得买,而我们个人想学习用那就更是买不起了。不过好消息来了,我找到一些高质量的开源AMBA VIP,今天就分享给大家,供大家学习研究那是妥妥的没问题,有的甚至都已经成功的被用到一些公司的验证环境了。

2022-12-09

开源AMBA AXI4 VIP

当今的SoC芯片,普遍包含大量的工业标准接口同外围设备相连。 在验证设计过程中,这些接口被用于和测试testbench相连接。这些沟通桥梁,被称为 Verification IP (VIP) 的模块实现。Verification IP (VIP) 是一种特殊的IP Core。它将一个接口的BFM 和 Test Harness features 结合在一起。VIP在芯片验证中的应用场景众多,不管是在IP Level还是在SoC Level随处可见它的身影。拥有VIP,无惧芯片设计挑战。而在当今的芯片领域,用的最多的可能还是标准总线APB/AHB/AXI等。提到VIP,估计大家最先想到的就是Cadence和Synopsys了。没错,他们是目前最大的两家VIP提供商。不过,那是商业VIP。商业VIP虽好,但是贵呀!很多小公司的预算有限,很多时候都舍不得买,而我们个人想学习用那就更是买不起了。不过好消息来了,我找到一些高质量的开源AMBA VIP,今天就分享给大家,供大家学习研究那是妥妥的没问题,有的甚至都已经成功的被用到一些公司的验证环境了。

2022-12-09

湖南大学量子力学课件,量子力学入门级教案

湖南大学量子力学课件,量子力学入门级教案。

2022-12-09

VCS用户手册,对应版本号为:R-2020.12-SP2,发布于June 2021

VCS用户手册,对应版本号为:R-2020.12-SP2,发布于June 2021

2022-11-04

使用VCS的门级仿真和最佳实践(Gate-Level Simulation with VCS and Best Practic)

使用VCS的门级仿真和最佳实践(Gate-Level Simulation with VCS and Best Practic)。

2022-10-08

UVMC应用案例代码分享

尽管 UVM 为构建参考模型提供了高级抽象,但 SystemC 在用于设计和验证的高级模型方面具有传统。 为了允许在 UVM 测试平台中重用使用 SystemC 编写的参考模型,UVMC 在 SystemC 和 SystemVerilog UVM 模型和组件之间提供 TLM1 和 TLM2 连接。在此代码包中将提供一个完整的UVMC应用案例,供大家参考。

2022-09-15

SystemC User’s Guide(SystemC使用手册)

本文档还没有描述新的SystemC 2.0特定的语言特性。请参阅SystemC 2.0功能规范文档。SystemC是一个c++类库和一种方法,您可以使用它来有效地创建软件算法、硬件架构和SoC(芯片上的系统)接口和系统级设计的周期精确模型。您可以使用SystemC和标准c++开发工具来创建系统级模型,快速模拟以验证和优化设计,探索各种算法,并为硬件和软件开发团队提供系统的可执行规范。可执行规范本质上是一个c++程序,它在执行时表现出与系统相同的行为。

2022-07-21

CMake官⽅⽂档CMake Tutorial

本⽂是CMake官⽅⽂档CMake Tutorial (http://www.cmake.org/cmake/help/cmake_tutorial.html) 的翻译。通过⼀个样例⼯程从简 单到复杂的完善过程,⽂档介绍了 CMake 主要模块( cmake , ctest , cpack )的功能和使⽤环境;从中可以⼀窥 cmake 的⼤体形貌。 正⽂如下:本⽂下述内容是⼀个⼿把⼿的使⽤指南;它涵盖了 CMake 需要解决的公共构建系统的⼀些问题。这些主题中的许多主题已经在 `Mastering CMake ⼀书中以单独的章节被介绍过,但是通过⼀个样例⼯程看⼀看它们如何⼯作也是⾮常有帮助的。本指南可以在 CMake 源码树的Tests/Tutorial路径下找到。每⼀步都有它⾃⼰的⼦路径,其中包含该步骤的⼀个完整的指南。

2022-07-21

Synopsys_SVA_OVL检查库应用指南

验证与设计不同,尤其是初学者,验证会成为一盘散沙——无规可循。然而 为了能够实现验证平台的重用,需要标准的验证语言和标准的验证库。这样一来 在验证的过程中只需要调用验证库中的库单元就可以实现验证的重用。所以为了 解决验证的混乱局面,特此依据 Synopsys 的 SVL 库进行翻译,该库与 OVL 的 使用方式相同,每次的检查对象仅需要例化对应的库单元就可以实现。 其原文来自于 Synopsys 的 SystemVerilog 检查库的讲解文档,翻译难免有错 和生硬的地方,所以请参照相应文件进行阅读。 最后将 Serikanth Vijayaraghavan 和 Meyyappan Ramanathan 编著的《A Practical Guide for SystemVerilog Assertions》的第一章翻译放置在附录 A 中,以 供阅者参考。

2022-07-07

SystemVerilog Assertion语法总结及应用图例

SystemVerilog Assertion语法总结及应用图例

2022-04-13

500个Excel函数模板.zip

这个压缩包中包含了500个常用的Excel模板,含有常用函数的实例、常见图表的绘制,以及数据透视表的做法,非常适合职场人士学习,提供工作效率。

2020-07-12

Python3源代码.rar

次源码包提供了Python3相关的100余个例子,从基础的正则表达式到文件解析,再到网络爬虫。并且带有详尽的注释,非常适合初学者,强烈推荐。

2020-07-12

集成电路设计CAD-EDA工具实用教程1-Spectre-Verilog数模混合仿真.ppt

本文详细讲解了Cadence的Spectre–Verilog混合信号仿真步骤,可作为新人教案,也可作为工程技术人员的参考。目前市面上相关资料特别少,值得推荐。

2020-07-12

快速仿真和数模混合仿真软件.ppt

浙江大学数模混合仿真课件,深度讲解了Cadence的Ultrasim、Spectre、Spectre-Verilog仿真工具的用法,非常好的数模混合仿真教材。目前市面上相关资料特别少,值得推荐。

2020-07-12

LDO低压差线性稳压器核心电路的设计.pdf

本文主要设计的是一个LDO低压差线性稳压器,工作在3V~5V的电压下,输出 电压为2.5V,能够驱动的最小电阻为2.5f2,最大的负载电流为1A。本设计的核心电路是由基准电压源模块,误差放大器模块,反馈模块,PMOS调整管四个模块组成

2020-05-02

pll-for-high-frequency-receivers-and-transmitters-3_cn.pdf

本文将考察PLL频率合成器的主要构建模块。我们还将比较整数N和小数N架构。最后将总结市场上现有的VCO,同时列出ADI的现有频率合成器系列。

2020-05-02

pll-for-high-frequency-receivers-and-transmitters-2.pdf

本文侧重于详细考察与PLL相关的两个关 键技术规格:相位噪声和参考杂散。导致相位噪声和参考杂 散的原因是什么,如何将其影响降至最低?讨论将涉及测量 技术以及这些误差对系统性能的影响。我们还将考虑输出漏 电流,举例说明其在开环调制方案中的重要意义。

2020-05-02

可测试性设计与ATPG.ppt

非常详细的DFT入门资料,详细介绍了常见的DFT模型,以及Scan mode测试技术。最后介绍了ATPG Flow。

2020-04-30

verilog数据类型及应用.ppt

非常详细的Verilog数据类型讲解,适合作为新人培训教材,也可作为工程技术人员的查询手册。值得推荐。

2020-04-30

2007全国大学生电子设计竞赛获奖作品汇编

2007全国大学生电子设计竞赛获奖作品汇编,非常詳細的資料,用於電子設計大賽備戰,以及電路設計學習。亦可作為工程設計人員的參考。

2013-09-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除