Vivado 2019.1下载与安装

前言

初学verilog的时候,本来打算使用vivado,但是第一次安装失败,而且容量也很大,于是就跟着小梅哥使用quartus II学习了;和已经工作的聊天,他们还在用vivado联合仿真,于是新年给自己奖励了一个1T的固态,那今天就重新下vivado!

参考的内容:特权同学 Verilog边码边学 001 Vivado下载与安装
小编使用了8min就安装完毕了!

注意

  • 目标目录不要有中文,保存安装包的目录也不要出现中文!

  • 安装的是web版本,不需要license

  • 后来又尝试了2019.2,参考文章见后记

后记

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
1. 首先,从Xilinx官网下载Vivado 2019.1安装包,下载地址为:https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools/2019-1.html 2. 下载完成后,双击安装包进行安装,选择“Vivado HL WebPACK”版本,点击“Next”继续。 3. 接着,选择安装路径和组件,建议选择默认路径和全部组件,点击“Next”继续。 4. 然后,选择安装选项,建议选择“Install Cable Drivers”和“Create Desktop Shortcut”,点击“Next”继续。 5. 接下来,确认安装选项,点击“Install”开始安装。 6. 安装完成后,点击“Finish”退出安装程序。 7. 打开Vivado 2019.1,选择“Create Project”,输入项目名称和路径,选择FPGA型号和开发板,点击“Next”继续。 8. 在“Add Sources”界面中,选择“Create File”,输入文件名和类型,点击“Finish”创建文件。 9. 在“Design Sources”界面中,右键点击文件名,选择“Add Sources”,选择需要添加的文件类型,点击“OK”添加文件。 10. 在“Constraints”界面中,选择“Add Sources”,添加约束文件。 11. 在“Run Synthesis”界面中,点击“Run Synthesis”开始综合。 12. 在“Run Implementation”界面中,点击“Run Implementation”开始实现。 13. 在“Generate Bitstream”界面中,点击“Generate Bitstream”生成比特流文件。 14. 在“Program Device”界面中,连接开发板并点击“Program”烧录比特流文件。 15. 至此,Vivado 2019.1下载安装和使用教程完成。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

杰之行

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值