modelsim批处理和xilinx core的使用

http://blog.ednchina.com/olivernie/59954/message.aspx

 

http://www.dzkf.cn/html/EDAjishu/2007/0108/1350.html

 

http://article.ednchina.com/Other/20080601110333.htm

 

 

http://techcenter.dicder.com/2007/0928/article_215.html

 

 

 

和xilinx core一起使用的命令行

 

http://www.xilinx.com/support/answers/6538.htm

http://www.xilinx.com/support/answers/1078.htm

http://likunarmstrong.bokee.com/viewdiary.13065790.html

http://time2hide.com/index.php?hl=f5&q=uggc%3A%2F%2Fjvaqjvgufgbez.oybtfcbg.pbz%2F2007%2F02%2Frqnyvahkzbqryfvz.ugzy

 

 

vsim -t 1ps -L unisims_ver work.glbl work.tb

 

vcom -93 <source1>.vhd <source1>.vhd ... testbench.vhd

vlog $env(XILINX)/verilog/src/glbl.v <source1>.v <source2).v ... <testbench>.v

vsim -t ps -L unisims_ver -L xilinxcorelib_ver work.<testbench> work.glbl

 

 

如何在modelsim中进行基于OVL库断言语句的设计和验证

http://www.eetop.cn/bbs/viewthread.php?tid=138073

 

vsim command line PLI howto

http://www.cs.mcgill.ca/~qxu2/vsim_pli_howto.html

 

[转]我的仿真工作流程(Verilog/Modelsim+Debussy)

 

http://bbs.soeol.com/showtopic-2480.aspx

 

 

 

 

 

 

 

 

 

 

 

 

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值