使用ISE的modelsim进行后仿真时出现fail to find glbl in hiararchical name

对于这个问题,xilinx官网有个类似的问题,如下:
在这里插入图片描述
给出的解释是:在这里插入图片描述意思是说glbl在版本更新后,出现了变化,导致modelsim在仿真时出现错误。

而本次我遇到的问题解决办法就是在project下添加glbl.v,而glbl.v文件就在ISE的安装路径下:my_install\14.7\ISE_DS\ISE\verilog\,拷贝到自己的工程下即可。在仿真时,需要对两个模块分别仿真,命令是vsim work.my_design work.glbl ,或者可以在工程文件夹下自建一个my.do文件,将该 vsim -voptrtgs="+acc" -t 1ps +maxdelays -L simprims_ver -L secureip -lib work.my_design work.glbl 命令放到里面,每次打开modelsim后在transcript窗口直接do my.do就可以。
在这里插入图片描述

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值