modelsim 仿真vivado ip

首先使用vivado-->Tools-->Compile Simulation Libraries编译modelsim仿真库,并添加到modelsim.ini文件中。

clk_wiz IP :

        1.在 tb 文件中添加glbl glbl(),如下图:

 

        2. 将vivado安装目录下的glbl.v文件拷贝到仿真文件夹下,并添加到modelsim工程中;

        3. 添加产生clk_wiz IP目录下的clk_wiz_0_clk_wiz.v文件到modelsim工程中,在project.gen/sources_1/ip/clk_wiz_0/下面;

        4. 启动仿真,如下配置:

仿真波形图:

FIFO IP:

        FIFO需要在 tb 文件中添加glbl glbl(),添加glbl.v文件,将.gen/sources_1/ip/fifo/sim/fifo.v和.gen/sources_1/ip/fifo/fifo_sim_netlist.v添加到modelsim工程;

        启动仿真时添加unisims_ver库即可启动仿真;

RAM IP:

         ram只需要添加.gen/sources_1/ip/ram/simulation/blk_mem_gen_v8_4.v和.gen/sources_1/ip/ram/sim/下面的.v文件即可启动仿真;

 

  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值