UVM TLM1.0简单介绍


前言

本文主要记录一下UVM中TLM1.0的几种传输方式和使用方法。具体包括:push mode、pull mode、fifo mode、analysis port、port pass-through这几种模式。


一、UVM TLM1.0

UVM中的TLM1.0按照传输方式划分,可以分为一对一和一对多(多对一)两大类。其中一对一的传输方式包括push mode、pull mode、fifo mode;一对多(多对一)的传输方式主要是analysis port。
在这里插入图片描述
在这里插入图片描述

二、Push Mode

push mode的数据传输方向,是将生产者(发起方)的数据发送给消费者(接收方)。在生产者中调用put任务,而put任务的具体实现在消费者中。
在这里插入图片描述

三、Pull Mode

pull mode的数据传输方向,是生产者(发起方)从消费者(接收方)获取数据。在消费者中调用get任务,而get任务的具体实现在生产者中。
在这里插入图片描述

四、FIFO Mode

fifo mode就是在生产者和消费者之间,增加一个uvm自带的fifo模块。更多关于uvm自带的fifo模块的介绍可以参考张强的《UVM实战》4.3.3节使用FIFO通信。
在这里插入图片描述

五、Analysis Port

上述的四种数据传输方式,只能实现一对一的传输,analysis port能够实现一对多传输。在生产者中调用write任务,在消费者中对write任务做具体的实现。
在这里插入图片描述

六、Port Pass-Through

相同的port可以通过内建的connect连接起来。
在这里插入图片描述


总结

这里主要总结了一下,UVM的TLM1.0中的几种数据传输方式。具体的端口又可以分为block和non-block两种方式,详细介绍也可以参考张强的《UVM实战》第4章UVM中的TLM1.0通信。

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
UVM TLM中,push模式是一种传输方式,用于模块之间的通信。在push模式下,一个模块可以将事务推送给另一个模块,而不需要等待另一个模块主动请求。这种方式可以实现异步通信,提高系统的并发性和效率。 在具体实现上,push模式通过调用put方法将事务推送给目标模块。在UVM中,可以使用put方法将事务放入一个消息队列或传输对象中,然后通过分析接口或端口传递给目标模块。这样目标模块就可以在适当的时候从队列或传输对象中获取事务进行处理。 例如,在UVM TLM中的邮箱中存取事务的实现中,put方法被用来将事务存入邮箱,以供其他模块使用。具体实现可以类似于下面的代码: virtual task put(input T t); m.put(t); // 将事务存入邮箱 put_ap.write(t); // 分析接口传递事务给目标模块 endtask 通过使用push模式,模块之间可以实现高效的异步通信,提高系统的灵活性和性能。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [UVM TLM1.0简单介绍](https://blog.csdn.net/hh199203/article/details/118019910)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [UVMTLM port基础](https://blog.csdn.net/hungtaowu/article/details/126021945)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [[UVM]UVM TLM1.0 Interface归纳总结 — 图解UVM TLM1.0 Interface](https://download.csdn.net/download/weixin_38620314/14031191)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值