uvm_event和uvm_event_pool


前言


1、uvm_event

uvm_event类有两种不同的操作模式:边沿敏感和电平敏感。

边沿敏感模式包括:trigger(),wait_trigger(),wait_ptrigger()和wait_ptrigger_data() 方法。不要使用reset(),is_on(),is_off(),wait_on()和wait_off()方法。
wait_*方法会被阻塞,在调用trigger()时释放。

电平敏感模式包括:trigger(),reset(),is_on(),is_off(),wait_on()和wait_off()方法,不要使用任何wait_*trigger方法。
trigger()方法将事件状态设置为ON,并释放该事件的所有现有wait_trigger()和wait_on()。

reset()方法将事件状态设置为OFF并释放该事件的所有wait_off()。如果用于边沿敏感等待,reset()调用将在之后解除触发器!如果事件被用作边缘敏感的同步机制,你不应该调用reset() !

下图是UVM-1.1中关于uvm_event的实现。
在这里插入图片描述
在这里插入图片描述

下图是UVM-1.2 & IEEE UVM中关于uvm_event的实现。
在这里插入图片描述

2、uvm_event_pool

uvm_event_pool顾名思义,用于存放uvm_event的一个池子。通过这个池子,uvm_event可以被全局访问。

在这里插入图片描述
在这里插入图片描述
必须选择使用uvm_event作为电平敏感事件或边沿敏感事件,不能把这两种使用模式混在一起。
对于电平敏感的同步,使用trigger()打开事件,使用reset()关闭事件。
对于边沿敏感的同步,只使用trigger()来触发边缘,不要调用reset()方法。
在使用事件作为边沿敏感同步时,调用reset()方法将解除触发机制,这将导致任何trigger()调用都不能再释放该事件,极有可能导致线程被锁定。

电平敏感的事件同步如下所示,利用uvm_event_pool.get_global(“reset”),获取一个用于全局复位的复位事件reset_event,利用reset_event.trigger()来触发打开事件,利用reset_event.reset()来关闭事件。
在这里插入图片描述
在这里插入图片描述
uvm_event_pool的应用示例如下所示,同样先利用uvm_event_pool.get_global(“reset”),获取一个用于全局复位的复位事件reset_event,这个reset_event是全局的,在上面的reset_monitor中进行触发和复位,在这里利用reset_event.wait_on()来阻塞进程,用于同步控制。
在这里插入图片描述


总结

本文主要记录一下uvm_event和uvm_event_pool的介绍和使用。

  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 在UVM中,可以使用uvm_event来实现事件的同步和通信。使用uvm_event需要以下步骤: 1. 定义uvm_event对象:可以在UVM组件中定义一个uvm_event对象,例如: ``` class my_component extends uvm_component; uvm_event my_event; // ... endclass ``` 2. 触发事件:可以使用uvm_event的trigger方法来触发事件,例如: ``` my_event.trigger(); ``` 3. 等待事件:可以使用uvm_eventwait方法来等待事件的发生,例如: ``` my_event.wait(); ``` 4. 清除事件:可以使用uvm_event的reset方法来清除事件,例如: ``` my_event.reset(); ``` 使用uvm_event可以方便地实现UVM组件之间的同步和通信,提高测试的效率和可靠性。 ### 回答2: UVM是基于SystemVerilog的测试框架,uvm_event是其中的一种事件类,在测试环境中用于同步不同任务之间的执行顺序,以及发送和接收消息等。在UVM中使用uvm_event可以实现多种功能,例如信号量、锁、通知等。 使用uvm_event需要实例化一个类对象,可以在测试环境的构造函数中进行初始化。然后可以通过wait和trigger等方法来进行事件的等待和触发。 一个简单的示例代码如下: // 定义uvm_event对象 class my_event extends uvm_event; // 在测试环境中实例化对象 class my_env extends uvm_env; my_event my_event_inst; ... function new(string name, uvm_component parent); super.new(name, parent); my_event_inst = new(); endfunction // 特定任务在触发事件后,等待事件 task spec_task; ... my_event_inst.wait(); // 处理事件触发后的任务 ... endtask // 某些情况下,需要等待一段时间后才触发事件 task spec_wait_task; ... #10ns; my_event_inst.trigger(); ... endtask endclass 在上面的代码示例中,我们首先定义了一个名为my_event的类,继承自uvm_event基类。然后在测试环境中实例化了一个my_event对象,并分别在特定任务中进行事件的等待和触发。其中spec_task任务在等待事件之前会执行一些处理,而spec_wait_task任务则是在等待10ns之后触发事件,这可以模拟某些情况下需要等待一段时间才能继续执行的情况。 总结来说,uvm_eventUVM中的使用需要实例化一个类对象,并使用wait和trigger等方法来进行事件的等待和触发。使用uvm_event可以实现多种功能,例如信号量、锁、通知等,能够方便地同步不同任务之间的执行顺序,以及发送和接收消息等。 ### 回答3: 在UVM中,uvm_event是用于通知和同步活动的对象,可以让我们在测试环境中实现有效的异步事件处理。一般情况下,它用于在测试中的多个线程或组件之间进行通信,并允许它们在需要时等待事件的发生。以下是在UVM中使用uvm_event的步骤: 1. 声明uvm_event 声明一个uvm_event对象,以便多个线程或组件可以在需要时使用并等待其发生。可以在UVM组件的类定义中声明该对象。 2. 触发事件 在适当的地方(通常是UVM组件中),可以启动事件。例如,一个任务或函数可能会启动一个uvm_event,以使其他组件或线程能够等待该事件的发生。这可以通过调用event.trigger()来完成。 3. 等待事件 在需要等待uvm_event发生的地方,可以使用event.wait()。这将阻塞当前线程,直到事件被触发。一般情况下,我们不会在主测试线程中使用event.wait(),因为这样会导致整个测试被阻塞。 4. 销毁事件 在整个测试期间,可以在不同的时刻启动和销毁uvm_event。通常,在组件完成使用该事件后,应该调用event.reset()对其进行重置以备再次使用,或者可以调用event.kill()来销毁该事件。 总之,uvm_event是在UVM测试环境中实现异步通信和同步活动的重要对象。了解如何使用uvm_event不仅有助于管理测试中的线程和组件,还可以提高测试的效率和准确性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值