Xilinx技术研讨会提到的一些Tips

今天参加了Xilinx在北京召开的技术研讨会,其中提到了一些在设计中可以使用到的技巧,用博客记录一下

1.Xilinx系列FPGA的触发器资源的复位和使能信号都是高电平有效,在设计中应该是用高电平有效,否则会消耗额外的LUT。

2.最好不要为每一个模块都做复位和使能,最好使用全局的复位和使能,这样可以节省slice。

3.全局的复位虽然不会消耗逻辑资源,但是会很消耗布线资源。如果你用到的触发器需要的初值是0那么没有必要做复位清零,如果必须再设计中引入复位,那么可以使用芯片提供的全局复位逻辑GSR。

4.在Virtex6中一个LUT5可以被配置成32bits的移位寄存器。

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值