(verilog)一步步带你手写异步FIFO

个人知乎文章搬运,欢迎关注h700 - 知乎电子科技大学 硕士研究生 回答数 0,获得 2 次赞同https://www.zhihu.com/people/h700-87知乎文章链接(verilog)一步步带你手写异步FIFO - 知乎FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,没有外部读写地址线,使用起来非常简单,只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以…https://zhuanlan.zhihu.com/p/545512508

 

FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,没有外部读写地址线,使用起来非常简单,只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。也正是由于这个特性,使得FIFO可以用作跨时钟域数据传输和数据位宽变换。

本篇文章分析异步FIFO的实现原理并用verilog手写实现异步FIFO。

一、双端口RAM

FIFO中用来存储数据的器件为双口RAM,我们首先搭建一个Dual Ram(双口RAM)。我们以一个深度为16,数据位宽为8的Dual Ram为例,框图和时序如下。

 

Dual Ram读端和写端采用两个时钟,可以实现读写时钟为异步时钟,也可以实现读写同时进行的功能。代码实现如下:

声明端口与内部信号

module Dual_Ram#(parameter ADDR_WIDTH=4 ,DATA_WIDTH=8)(
input wclk,input rclk,
input wr_en,input rd_en,
input [AD
  • 3
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
以下是使用SystemVerilog编写的预读异步FIFO代码: module async_fifo #(parameter WIDTH = 8, // 数据宽度 DEPTH = 4, // FIFO深度 PRE_READ = 1) // 预读数目 (input clk, // 时钟信号 input rst, // 复位信号 input wr_en, // 写使能信号 input rd_en, // 读使能信号 input [WIDTH-1:0] data_in, // 输入数据 output logic [WIDTH-1:0] data_out, // 输出数据 output logic full, // FIFO满标志 output logic empty); // FIFO空标志 // 内部信号定义 logic [WIDTH-1:0] fifo [0:DEPTH-1]; logic [$clog2(DEPTH)+1:0] wr_ptr, rd_ptr; logic [$clog2(DEPTH)+1:0] next_wr_ptr, next_rd_ptr; logic [$clog2(DEPTH)+1:0] pre_rd_ptr; logic [$clog2(DEPTH)+1:0] count; // 初始化 initial begin wr_ptr <= 'd0; rd_ptr <= 'd0; pre_rd_ptr <= 'd0; count <= 'd0; end // 写指针逻辑 always_ff @(posedge clk or negedge rst) begin if (!rst) begin wr_ptr <= 'd0; next_wr_ptr <= 'd0; end else begin if (wr_en && !full) begin next_wr_ptr <= wr_ptr + 1; end else begin next_wr_ptr <= wr_ptr; end wr_ptr <= next_wr_ptr; end end // 读指针逻辑 always_ff @(posedge clk or negedge rst) begin if (!rst) begin rd_ptr <= 'd0; next_rd_ptr <= 'd0; end else begin if (rd_en && !empty) begin next_rd_ptr <= rd_ptr + 1; end else begin next_rd_ptr <= rd_ptr; end rd_ptr <= next_rd_ptr; end end // 预读指针逻辑 always_ff @(posedge clk or negedge rst) begin if (!rst) begin pre_rd_ptr <= 'd0; end else begin if (rd_en && !empty) begin if (pre_rd_ptr == rd_ptr) begin pre_rd_ptr <= next_rd_ptr; end end else begin pre_rd_ptr <= rd_ptr; end end end // 计数器逻辑 always_comb begin count = (wr_ptr - rd_ptr >= 0) ? wr_ptr - rd_ptr : (DEPTH + wr_ptr - rd_ptr); end // 数据写入逻辑 always_ff @(posedge clk or negedge rst) begin if (!rst) begin // 初始化 for (integer i = 0; i < DEPTH; i++) begin fifo[i] <= 'd0; end end else begin // 写入数据 if (wr_en && !full) begin fifo[wr_ptr] <= data_in; end end end // 数据输出逻辑 always_comb begin if (count == 0) begin empty = 1; end else begin empty = 0; end if (count == DEPTH) begin full = 1; end else begin full = 0; end if (count > PRE_READ) begin data_out = fifo[pre_rd_ptr]; end end endmodule

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值