【无标题】

1、本例使用的modelsim和xilinx vivado的版本

  		ModelSim: SE-64 10.5
  		Vivado:2018.3

2、在vivado里生成用modelsim调试所需要的库

用vivado生成仿真库
为要编译的库选择一个安装文件夹: 编译库
从这个例子可以看出,这个动作也是可以通过命令来实现的:

compile_simlib -simulator modelsim -simulator_exec_path {C:/modeltech64_10.5/win64} -family all -language all -library all -dir {D:/Xilinx/Vivado/2018.3/modelsimlib}

3、在modelsim里为生成的库添加必要的路径

为了让modelsim能够找到vivado的IP库,有两种方法,一种是在modelsim的modelsim.ini文件里添加指向不同库的路径;还有一种方法是直接在仿真脚本do文件里申明这个路径。

3.1在modelsim.ini文件里为vivado库添加路径

modelsim.ini文件在modelsim的安装目录下,打开此文件,找到[Library]部分,如果编程语言用的是verilog,添加如下的变量:

UNISIMS_VER = D:/Xilinx/Vivado/2018.3/modelsimlib/unisims_ver
UNIMACRO_VER = D:/Xilinx/Vivado/2018.3/modelsimlib/unimacro_ver
SIMPRIMS_VER = D:/Xilinx/Vivado/2018.3/modelsimlib/simprims_ver
SECUREIP = D:/Xilinx/Vivado/2018.3/modelsimlib/secureip

3.2在do文件里添加库

例如:(在这个例子里,为需要仿真的模块指定了具体的库)

vlib work

vmap axi_lite_ipif_v3_0_4   D:/Xilinx/Vivado/2018.3/modelsimlib/axi_lite_ipif_v3_0_4
vmap lib_pkg_v1_0_2         D:/Xilinx/Vivado/2018.3/modelsimlib/lib_pkg_v1_0_2
vmap lib_srl_fifo_v1_0_2    D:/Xilinx/Vivado/2018.3/modelsimlib/lib_srl_fifo_v1_0_2
vmap lib_cdc_v1_0_2         D:/Xilinx/Vivado/2018.3/modelsimlib/lib_cdc_v1_0_2
vmap axi_uartlite_v2_0_23   D:/Xilinx/Vivado/2018.3/modelsimlib/axi_uartlite_v2_0_23
vmap secureip              D:/Xilinx/Vivado/2018.3/modelsimlib/secureip
vmap xpm                   D:/Xilinx/Vivado/2018.3/modelsimlib/xpm

vcom /path/to/axi_uartlite_0/sim/axi_uartlite_0.vhd

vlog /path/to/Vivado/${vivado_version}/data/verilog/src/glbl.v

vsim -c -voptargs="+acc" \\
  -L axi_lite_ipif_v3_0_4 \\
  -L lib_pkg_v1_0_2 \\
  -L lib_srl_fifo_v1_0_2 \\
  -L lib_cdc_v1_0_2 \\
  -L axi_uartlite_v2_0_23 \\
  -L secureip \\
  -L xpm \\
  glbl \\
  ${top_model} \\
  -do "run -all ; quit"

这里需要说明的是,在仿真的时候:vlog /path/to/Vivado/${vivado_version}/data/verilog/src/glbl.v是必须要的,可以采取的办法是添加一个环境变量XILINX,然后能够依据此变量找到glbl.v。
添加系统变量
然后在do文件里用就可以用这个变量来指向glbl.v文件
例如:

vlog $env(XILINX)/data/verilog/src/glbl.v

4、仿真

最好用编辑do脚本的方式进行仿真,例如:

vlib work
vmap work work
vlog $env(XILINX)/data/verilog/src/glbl.v
vlog -reportprogress 300  -work work  mul_sim_netlist.v
vlog -reportprogress 300  -work work  mymul_tb.v
vsim -L work -L SECUREIP -L UNIMACRO_VER -L UNISIMS_VER -L XILINXCORELIB_VER  -novopt mymul_tb   glbl
dd wave sim:/*
run 100000

需要注意的是,这其中的mul_sim_netlist.v就是在vivado里面生成IP的时候附带的sim文件夹里的关于这个需要仿真的IP的netlist文件。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值