用MATLAB产生VIVADO可用的coe文件

本文档提供了一种使用MATLAB从.bmp文件生成VIVADO使用的coe文件的方法。通过读取图像文件,处理成红色通道,并将数据转换为十六进制格式,最后写入coe文件。
摘要由CSDN通过智能技术生成
%**************************************************************************
% Generate MIF file
%
%                               resevered by 
%                                   2015.11.09
%**************************************************************************


[FileName,PathName] = uigetfile('*.bmp','Select the *.bmp file'); 
f = fullfile(PathName,filesep,FileName);
fid = fopen(f,'r');
im=imread(f);
%fr=dec2bin(im(:),8);


[r,c,d]=size(im)
red=im;


red(:,:,1)= red(:,:,1);
red(:,:,2)= zeros(r,c);
red(:,:,3)= zeros(r,c);
red=uint8(red);
subplot(1,4,1)
imshow(red);


depth =64; %存储器的单元数
width =64;%数据宽度为8位
%N = 0 :255;
%s =sin(2*pi *N/256);%计算0 ~2*pi之间的sin值
fidc = fopen('64r.co
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值