(三)ZYNQ + VIVADO 笔记:EMIO实验

第一部分 前言EMIO和MIO的使用其实非常像,有点不同的即是EMIO需要进行管脚约束。这也是好理解的,MIO直接归属于PS部分,各个管脚的连接都是提前定好的,只需要进行使能。但是EMIO需要PL部分配合,因此要将对应的管脚和定义的信号连接起来。需要注意,即便如此,EMIO还是被集合在了 ZYNQ SYSTEM IP CORE 中第二部分 笔记1、简要流程记录1.1、注意EMIO的...
摘要由CSDN通过智能技术生成

第一部分 前言

EMIO和MIO的使用其实非常像,有点不同的即是EMIO需要进行管脚约束。这也是好理解的,MIO直接归属于PS部分,各个管脚的连接都是提前定好的,只需要进行使能。但是EMIO需要PL部分配合,因此要将对应的管脚和定义的信号连接起来。需要注意,即便如此,EMIO还是被集合在了 ZYNQ SYSTEM IP CORE 中

第二部分 笔记

1、简要流程记录

1.1、注意EMIO的设置位置

1.2、EMIO添加之后要找到接口处选择 Make External 将接口延伸出来,否则在之后生成的顶层文件中看不到,更勿论在SDK中进一步开发了。

1.3、在顶层文件中可以看到对应的接口和设置,既可以做输入输出,也可以做三态

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值