初学FPGA思路参考

(转载)讲给初学者




作为FPGA新人常常在论坛上问,用什么语言啊,用什么软件啊,用那个公司的芯片等问题。我个人人为学习FPGA和学习单片机有相同的道理:反复动手联系,有项目的跟着项目走,没有项目的买快开发板折腾! 下面是其它人写的关于如何学习FPGA的建议:
1 、首先要理解  CPLD\FPGA 器件的含义,主要是关于并行处理的理解。
2 、编程语言,目前主要有 2 种, VHDL  Verilog 。其中用  Verilog 的比较多,评价也很好。
3 、最好能有一块开发板,不用太好的开发板,一般的就好(太好的也用不上),练练编程。
4 、开始不要弄太复杂的功能(我说的是编译器上的功能),主要是功能仿真、和时许仿真。
5 、目前国内市场上, altera 的器件用的人多, xilinx 用的相对少些,但是高端的一般用 xilinx 多些,但是个人认为做高端的最好用 actel 的。比较好,选用什么器件最好看公司用什么的,就选什么的,这样便于交流。

这是另一个高手写的: 回想起自己学FPGA,已经有一段时间了,从开始的茫然,到后来的疯狂看书,设计开发板,调电路,练习各种FPGA实例,到最后能独立完成项目,一路走来,感受颇多,拿出来和大家分享,顺便介绍下自己的一点经验所得,希望对初学者有所帮助。 废话不说了,下面进入正题,学习FPGA我主要经历了这么几个阶段:
Verilog 语言的学习,熟悉 Verilog 语言的各种语法。
FPGA 的学习,熟悉 QuartusII 软件的各种功能,各种逻辑算法设计,接口模块 (RS232,LCD,VGA,SPI,I2c ) 的设计,时序分析,硬件优化等,自己开始设计简单的 FPGA 板子。
NiosII 的学习,熟悉 NiosII 的开发流程,熟悉开发软件 (SOPC,NiosII IDE), 了解 NiosII 的基本结构,设计 NiosII 开发板,编写 NiosII C 语言程序,调试板子各模块功能。
先来说说第一个阶段,现在主要的硬件描述语言有VHDLVerilog两种,在本科时老师一般教VHDL,不过现在Verilog用的人越来越多,其更容易上手(C语言语法比较类似),也更灵活,现在的IC设计基本都用Verilog。像systemCsystemVerilog之类的应该还在萌芽阶段,以后可能会有较大发展。鉴于以上原因我选择了Verilog作为我学习的硬件描述语言。 其实有C语言的基础,学起Verilog的语言很简单,关键要有并行的概念,所有的moduleassignalways都是并行的,这一点与软件语言有明显不同。这里推荐几本评价比较好的学习Verilog的书籍: ①、《verilog 数字系统设计教程》,这本书对于入门是一本很好的书,通俗易懂,让人很快上手,它里面的例子也不错。但本书对于资源优化方面的编程没有多少涉及到。 ②、《设计与验证Verilog HDL》,这本书虽然比较薄,但是相当精辟,讲解的也很深入,很多概念看了这本书有种豁然开朗的感觉,呵呵。 学习Verilog其实不用看很多书,基本的语法部分大家都一样,关键是要自己会灵活应用,多做练习。 Verilog语言学了一段时间,感觉自己可以编点东西,希望自己编的程序在板子上运行看看结果。
下面就介绍我学习的第二个阶段。 刚开始我拿了实验室一块CPLD的开发板做练习,熟悉QuartusII的各种功能,比如IP的调用,各种约束设置,时序分析,Logiclock设计方法等,不过做到后面发现CPLD的资源不太够(没有内嵌的RAM、不能用SignalTapIILE太少等),而实验室没有FPGA开发板,所以就萌生了自己做FPGA开发板的意图,刚好Cadence我也学的差不多了,就花了几天时间主要研究了FPGA配置电路的设计,在板子上做了JtagAS下载口,在做了几个用户按键和LED,其他的口全部引出作为IO口,电路比较简单,板子焊好后一调就通了(心里那个爽啊...)。我选的FPGAcycloneII系列的EP2C5,资源比以前的FPGA多了好几倍,还有PLL,内嵌的RAM,可以试试SignalTapII,用内嵌的逻辑分析仪测试引脚波形,对于FPGA的调试,逻辑分析仪是至关重要的。利用这块板子我完成了项目中的几个主要功能:RS232通信,指令译码,配置DDSAD数据高速缓存,电子开关状态设置等,在实践中学习起来真的比平时快很多,用到什么学什么动力更大。这个时候我主要看的数据有这几本感觉比较好: 未完,待续 在此声明:在这里也推荐几个学习FPGA比较好的论坛http://www.eetop.cn/。属作者个人意见 soso姐姐不要封杀啊 咱们的论坛也很好的:(
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值