自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

YprgDay的博客(Yuan make progress everyday)

主要记录与分享FPGA领域的学习。

  • 博客(83)
  • 收藏
  • 关注

原创 Modelsim仿真时报错Error xx.v Module ‘xx‘ is not defined的解决

Modelsim仿真时报错Error XX.v Module 'xx_fifo' is not defined的解决。

2024-07-23 20:56:35 197

原创 Modelsim仿真时报错Error (vlog-7) Failed to open design unit file XXXXX in read mode的解决

Modelsim仿真时报错Error (vlog-7) Failed to open design unit file XXXXX in read mode的解决。

2024-07-23 20:53:54 596

原创 Xilinx FIFO IP核使用及注意事项

Xilinx FIFO IP核使用及注意事项。

2024-07-16 15:52:34 1263

原创 Vivado关联Modelsim仿真时一直卡在Executing analysis and compilation step...的解决

Vivado关联Modelsim仿真时一直卡在Executing analysis and compilation step...的解决。

2024-07-16 10:16:21 382

原创 Vivado关联Modelsim报错environment variable is not writeable

Vivado关联Modelsim报错ERROR: [Common 17-53]。

2024-06-25 16:12:01 257

原创 锁存器(Latch)的产生与特点

Latch 是什么,以及它的产生、危害、如何避免等相关知识。

2024-06-06 22:12:34 1581

原创 Vivado工程快速查看软件版本与器件型号

如何快速查看一个工程使用的Vivado版本以及使用的器件型号啦?

2024-06-05 14:34:46 588

转载 Verilog中reg和wire的区别总结

Verilog中reg和wire的区别总结。

2024-06-03 16:33:45 204

原创 Vivado报错 [Synth 8-9917] port ‘xx‘ must not be declared to be an array

Vivado报错 [Synth 8-9917] port 'xx' must not be declared to be an array的解决办法。

2024-05-31 14:20:30 391

原创 Vivado IP核的快速入门 官方手册和例程

Vivado IP核的快速入门 官方手册和例程。

2024-05-23 20:13:56 1440 2

原创 Vivado中对已调用IP核的重命名

Vivado中对已调用IP核的重命名。

2024-05-23 19:47:39 564

原创 快速筛选大批量文件夹下某一特定格式文件的方法

快速筛选大批量文件夹下某一特定格式文件的方法。

2024-05-22 09:54:08 949

原创 Xilinx RAM IP核的使用及注意事项

Xilinx RAM IP核的使用及注意事项。

2024-05-15 20:28:51 1638

原创 Excel快速填充序号的方法

Excel快速填充序号的方法。

2024-05-11 11:22:09 502

原创 SRAM控制原理与读写实例

本文对SRAM进行介绍,并对其内部的存储器矩阵、地址译码器、列I/O及I/O数据电路、控制电路、SRAM的读写流程进行简要介绍,并给出SRAM IS62LV256-45U读写实例。

2024-04-25 15:40:20 2880

原创 Verilog仿真跨模块调用内部信号的方法

跨模块调用内部信号的一种方法。

2024-04-17 14:28:00 1077 3

原创 【Modelsim】保持波形格式重编译and波形的保存与查看

Modelsim重编译与波形查看。

2024-04-15 21:12:06 809

原创 【Lattice FPGA 开发】Diamond的使用

Diamond的使用。

2024-04-15 20:15:08 1472

原创 【Lattice FPGA 开发】Modelsim与Diamond联合仿真

本文讲解Modelsim与Diamond进行联合仿真步骤,以及对遇到问题的解决与说明。

2024-04-15 19:58:57 1916

原创 时钟周期检测标志信号

对某分频后的时钟进行周期检测,产生周期标志信号。

2024-04-12 16:17:31 535

原创 FPGA结构与片上资源

可编程逻辑单元CLB(Configurable Logic Block)、可编程I/O单元和布线资源构成了FPGA内部三大主要资源。本文以Xilinx 7系列FPGA为例进行FPGA结构和片上资源讲解。

2024-03-25 21:31:48 1976

原创 【Lattice FPGA 开发】IP核的调用

本文介绍Diamond开发软件进行IP核调用与对应官方文档查找方法。

2024-03-05 21:44:56 1420

原创 FPGA IO命名与Bank划分

介绍FPGA IO命名与Bank简介、划分查找。

2024-02-26 19:27:35 2561

原创 数字滤波器的技术指标

数字滤波器的技术指标。

2024-01-30 19:36:16 1772

原创 MATLAB中conv和filter函数的区别

本文讨论MATLAB中conv和filter函数的区别。

2024-01-26 10:37:47 1160

原创 【Modelsim】数据显示设置

本文介绍modelsim使用中数据的显示设置,定点小数的显示、模拟波形的显示、数据截位查看、信号颜色和行高设置的操作。

2024-01-25 21:24:19 2709 5

原创 MATLAB对数据隔位抽取和插值的几种方法

MATLAB对数据抽取和插值的几种方法介绍。

2024-01-09 14:30:03 3119

原创 coe文件格式与制作

coe文件的格式是什么样的?用途不同关键词是否有差异?如何自己制作coe文件?

2024-01-09 14:19:14 2748

原创 混频原理与频谱搬移

如何进行混频?频谱搬移的过程是什么样的?

2023-12-13 10:38:07 9237 11

原创 MATLAB读写txt文件数据与进制转换

MATLAB读写txt文件数据与进制转换代码

2023-12-13 09:55:22 1770

原创 DFT(离散傅里叶变换)的通俗理解

本文包含了博主对离散傅里叶变换,负频率,实信号与复信号频谱的理解,如有不妥,欢迎各位批评指正与讨论。

2023-12-05 22:05:33 3747

原创 常用函数的傅里叶变换及其频谱

常用函数的傅里叶变换及其频谱。

2023-12-05 15:42:07 1175

原创 MATLAB中fft与fftshift的区别

MATLAB中fft与fftshift的区别简介。

2023-11-27 22:52:53 1844 2

原创 MATLAB中FFT频谱分析使用详解

本文对matlab中fft的使用作出详细说明,并对频谱的双边、单边幅度谱与相位谱加以说明。

2023-11-27 22:43:56 13462

转载 信号处理:单边、双边频谱间的相互转换

由于理论和实际之间的差异,单边、双边频谱间的相互转换是信号处理中的一个基本运算。本文中首先说明单边频谱和双边频谱相互转换的一般过程,之后列出其中若干容易出错的环节,最后以一个简单的例子进行说明。

2023-11-27 11:31:43 2867

原创 MATLAB对比两txt文件内容是否一致

对比两txt每行的内容是否一致,若一致则输出'完全一致',不一致则输出'第 %d 行不一致';若行数不等则输出'文件1比文件2少/多%d行'

2023-11-22 17:34:37 542

原创 MATLAB常用绘图函数的使用

本文对MATLAB中绘制二维图的常用函数的使用进行例举并给出图示。对函数图的绘制,颜色与线型,坐标范围设置,标题、标签、图例、字体大小等设置做出说明。

2023-11-20 15:37:07 2397

原创 MATLAB中Filter Designer的使用与XILINX Coefficient(.coe)File的导出

本文介绍MATLAB中Filter Designer的使用以及XILINX Coefficient(.coe)File的导出步骤。

2023-11-14 15:38:24 3312 3

原创 SPI简介及FPGA通用MOSI模块实现

SPI简介及FPGA的通用MOSI模块实现。

2023-11-10 16:17:21 2407

原创 频谱仪超外差和零中频架构

频谱仪超外差和零中频结构介绍与对比。

2023-11-02 19:46:57 1829 4

仿真文件中的阻塞和非阻塞

在 RTL 代码中我们知道如果表达组合逻辑时使用“=”赋值,表达时序逻辑时使用“<=”赋值,如果我们不按照这种规则来设计往往会得到意想不到的答案。虽然说在 Testbench 中我们对赋值号的要求并不是很在意,使用“=”和“<=”赋值均可,都能够仿真出来结果,且最后不会被综合成实际的电路,不会影响功能。网络上的各种资料教程也各有不同的写法,难道在 Testbench 中随便使用“=”和“<=”赋值真的对测试没有任何影响吗?经过下面的测试验证我们得到了出乎意料的答案。

2023-09-27

乘法器IP核进行无符号(unsigned)与有符号数(signed)相乘的正确性验证

文章https://blog.csdn.net/weixin_48412658/article/details/132992296的配套代码工程,用于验证乘法器IP核Multiplier进行无符号(unsigned)与有符号数(signed)相乘的正确性。

2023-09-18

频谱分析仪架构对比与工作方式

应该购买什么类型的频谱分析仪—扫频式、FFT(快速傅立叶变换)还是实时式?最合适的频谱仪类型也取决于多种因素。本应用指南介绍这三种频谱分析方法的工作方式,并针对您可能的应用,对其测量结果进行对比。

2023-09-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除