第六周实验1--- FPGA设计入门

一、一 位全加器:

a.原理图

1.全加器原理图和符号图

在这里插入图片描述

2.新建工程
在这里插入图片描述

3.创建顶层设计文件:
在这里插入图片描述

4.编译查错:
在这里插入图片描述

5.将项目设置成可调用元件:
在这里插入图片描述

6.仿真波形文件:

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

b.波形图

1.仿真:
在这里插入图片描述

2.报错:
在这里插入图片描述

3.设置
在这里插入图片描述
在这里插入图片描述

4.重新生成波形图:
在这里插入图片描述

二、Verilog语言仿真测试:

1.Verilog代码:

module flow_led( 
	input sys_clk , //系统时钟
	input sys_rst_n, //系统复位,低电平有效
	 
	output reg [3:0] led //4个LED灯 
	);
 
//reg define
reg [23:0] counter;

//*****************************************************
//** main code
//***************************************************** 
 
//计数器对系统时钟计数,计时0.2秒
always @(posedge sys_clk or negedge sys_rst_n) begin
	if (!sys_rst_n)
		counter <= 24'd0;
	else if (counter < 24'd1000_0000)
		counter <= counter + 1'b1;
	else
		counter <= 24'd0;
end

//通过移位寄存器控制IO口的高低电平,从而改变LED的显示状态
always @(posedge sys_clk or negedge sys_rst_n) begin
	if (!sys_rst_n)
		led <= 4'b0001;
	else if(counter == 24'd1000_0000)
		led[3:0] <= {led[2:0],led[3]};
	else
		led <= led;
end

endmodule


2.硬件测试

步骤同上。

3.结果

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值