自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(8)
  • 资源 (1)
  • 收藏
  • 关注

原创 无法正常打开网页的解决方法(情况一)

当进入一个网页是出现如下情况的解决方法——单击空白处(目的是确定你正在浏览该窗口),直接输入thisisunsafe即可打开目的网页。提示:输入过程中无现象,不会出现搜狗输入法等。

2022-03-02 15:09:06 808

原创 线性代数 向量组 线性相关与表出 秩 解的关系总(一)

前言一、(非)齐次方程组解的判定二、易混知识点1.线性相关与线性表答(出)2.秩与最大线性无关组3.向量个数与维数三、补充前言提示:以下是本篇文章正文内容,下面案例可供参考一、(非)齐次方程组解的判定 秩 行列式(注:在n个n维向量组) 解的情况 b=0齐次线性方程组

2022-02-07 10:02:22 7934 5

原创 关于hexo + github 博客环境的搭建与美化

关于hexo + github 博客环境的搭建与美化这里写目录标题关于hexo + github 博客环境的搭建与美化前言最终效果准备工具博客搭建1.Node.js, Git下载2.GitHub的注册并创建仓库3.添加SSH密钥4.安装HEXO框架具体搭建步骤博客美化感悟前言用hexo + github(gitee)搭建博客能实现白嫖的效果gitee现在不能新建仓库,我就不会搞这个方案了搭出的博客网址是: xxx.github.io (不用输入http等)后期以matery主题为例该方案不能保

2021-08-20 07:35:59 213

原创 VHDL+LED控制器+50MHZ分频+例化

题目:设计一个LED控制器,能够控制LED以不同速度闪烁,具体要求如下:要求:用实验箱上1个拨码开关SW1做为多路输入端,当拨码开关为高电平‘1’时,LED以1秒间隔闪烁(亮0.5秒,灭0.5秒循环往复)。当拨码开关为低电平‘0’时,LED以10秒间隔闪烁(亮5秒,灭5秒循环往复)。步骤思路一、必要器件1.分频器2.计数器3.选择器二、元件例化设计顶层总结思路先分别写出分频器,计数器,选择器,然后例化3个器件提示:以下是本篇文章正文内容,下面案例可供参考一、必要器件1.分频器代码如下(

2021-06-05 10:45:27 4780 2

原创 Quartus II软件 基本使用(一)仿真一位全加器

提示:我也是一个学习者,错误,不当出请指正,咱们以一位全加器设计实验操作一下。文章目录一、实验目的二、实验要求三、主要仪器设备四、实验原理五、实验内容六、实验结果及分析总结一、实验目的1.掌握Quartus II原理图输入法的编辑、编译(综合)、仿真和编程下载的操作过程。2. 用原理图输入法设计全加器电路,并通过电路仿真和硬件验证,进一步了解全加器的功能。3. 3.熟悉EDA实验箱的使用方法。二、实验要求(1)设计完成1位全加器,列些真值表并手动绘制逻辑图。(2)利用图形输入法在 Qua

2021-05-16 17:01:17 6674 2

原创 一位加法器

library ieee;use ieee.std_logic_1164.all;entity add1 is port (x,y,ci: in std_logic; Co,S:out std_logic);end add1;architecture abc of add1 isbegin S<=ci xor (x xor y); Co<=not(((x or y) and ci) nor (x and y));end abc;

2021-05-11 22:45:17 1154

原创 VHDL D触发器 4位移位寄存器 例化+仿真(功能时序)

二、操作步骤1.设计一个D触发器library ieee;use ieee.std_logic_1164.all;entity dff1 is port( clk,d:in std_logic; q:out std_logic);end dff1;architecture abc of dff1 isbegin process(clk,d) begin if clk'event and clk='1' then q<=d; end if; ..

2021-05-10 19:37:22 7966 1

原创 VHDL多路选择器+仿真(简单版)

VHDL多路选择器题目如下多路选择器的顶层设计电路如图1所示。根据真值表,如果输入sel=”01”或者sel=”10”,那么输出将等于对应的某一个输入(c=a或c=b)。然而如果输入sel=”00”或者sel=”11”,那么输出将分别为’0’和”Z”(高阻态)。LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY mux IS PORT(a,b: IN std_logic_vector(7 downto 0); sel: IN std_lo

2021-05-08 10:29:01 1665 2

EDA Quartus13.0使用 1位全加器仿真.docx

数电初学者,有一定的数字逻辑电路知识 教你初等Quartus使用

2021-05-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除