gvim的安装以及配置 (转)

将自己的安装和配置过程记录下来供参考:
安装:

sudo apt-get install vim-gtk vim-doc cscope


创建启动项

sudo cat > /usr/share/applications/gvim.desktop << "EOF"
[Desktop Entry]
Name=Gvim
Comment[zh_CN]=Gvim编辑器
Exec=gvim
Icon=/usr/share/pixmaps/gnome-word.png
Terminal=false
X-MultipleArgs=false
Type=Application
Categories=Application;Development;
Encoding=UTF-8
StartupNotify=true
EOF


gvim配置:



" 项目: gvim 配置文件
"
作者: Colin
" 安装: sudo apt-get install vim-gtk
"
用法: 将本文件(.vimrc)拷贝到$HOME/

" 使用 darkblue 调色板,在/usr/share/vim/vim72/colors路径下有多个可选
  colo darkblue

"
设置用于GUI图形用户界面的字体列表。
  set guifont=SimSun\ 11
"
  set nocompatible
"
设定文件浏览器目录为当前目录
  set bsdir=buffer
  set autochdir

" 设置编码
  set enc=utf-8

"
设置文件编码
  set fenc=utf-8

" 设置文件编码检测类型及支持格式
  set fencs=utf-8,ucs-bom,gb18030,gbk,gb2312,cp936

"
指定菜单语言
  set langmenu=zh_CN.UTF-8
  source $VIMRUNTIME/delmenu.vim
  source $VIMRUNTIME/menu.vim

" 设置开启语法高亮
  syntax on

"
设置语法高亮度
  set syn=c

" 显示行号
  set nu!

"
查找结果高亮度显示
  set hlsearch

" tab宽度
  set tabstop=4
  set cindent shiftwidth=4
  set autoindent shiftwidth=4

"
设置C/C++自动缩进
  set cindent

" C/C++注释
  set comments=://

"
修正自动C式样注释功能 <2005/07/16>
  set comments=s1:/*,mb:*,ex0:/

" 增强检索功能
  set tags=./tags,./../tags,./**/tags

"
保存文件格式
  set fileformats=unix,dos

" 键盘操作
  map <Up> gk
  map <Down> gj

"
命令行高度
  set cmdheight=1

" 项目: gvim 配置文件
" 作者: Colin
" 安装: sudo apt-get install vim-gtk
" 用法: 将本文件(.vimrc)拷贝到$HOME/

" 使用 darkblue 调色板,在/usr/share/vim/vim72/colors路径下有多个可选
  colo darkblue

" 设置用于GUI图形用户界面的字体列表。
  set guifont=SimSun\ 11
"
  set nocompatible
" 设定文件浏览器目录为当前目录
  set bsdir=buffer
  set autochdir

" 设置编码
  set enc=utf-8

" 设置文件编码
  set fenc=utf-8

" 设置文件编码检测类型及支持格式
  set fencs=utf-8,ucs-bom,gb18030,gbk,gb2312,cp936

" 指定菜单语言
  set langmenu=zh_CN.UTF-8
  source $VIMRUNTIME/delmenu.vim
  source $VIMRUNTIME/menu.vim

" 设置开启语法高亮
  syntax on

" 设置语法高亮度
  set syn=c

" 显示行号
  set nu!

" 查找结果高亮度显示
  set hlsearch

" tab宽度
  set tabstop=4
  set cindent shiftwidth=4
  set autoindent shiftwidth=4

" 设置C/C++自动缩进
  set cindent

" C/C++注释
  set comments=://

" 修正自动C式样注释功能 <2005/07/16>
  set comments=s1:/*,mb:*,ex0:/

" 增强检索功能
  set tags=./tags,./../tags,./**/tags

" 保存文件格式
  set fileformats=unix,dos

" 键盘操作
  map <Up> gk
  map <Down> gj

" 命令行高度
  set cmdheight=1

" 中文帮助
  if version > 603
  set helplang=cn
  endi

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: gvim是一个功能强大的文本编辑器,可以在Windows、Linux和Mac OS上运行。用于编辑verilog代码时,可以通过进行配置以提高编辑效率。 首先,需要在系统上安装gvim。可以从官方网站或其他可靠来源下载安装程序,并按照安装向导进行安装安装完成后,可以根据个人的需要进行一些基本配置。打开gvim后,输入以下命令进入编辑模式: ``` :edit $MYVIMRC ``` 这将打开一个文件,该文件用于存储gvim配置设置。如果该文件不存在,则会创建一个新的文件。 在该文件中,可以添加一些配置设置以适应verilog编辑。以下是一些常用的配置设置示例: ``` syntax enable "启用语法高亮 set tabstop=4 "设置制表符为4个空格 set shiftwidth=4 "设置缩进为4个空格 set expandtab "使用空格来代替制表符 set autoindent "自动缩进新行 ``` 可以根据个人偏好进行配置。可以通过在文件中添加或修改配置设置,然后保存并退出。 另外,还可以使用插件来增强gvim的功能。例如,可以安装verilog_systemverilog.vim插件来提供更强大的verilog代码编辑支持。可以通过在.vim文件夹中的bundle文件夹中下载和安装插件,然后在.vimrc文件中进行相关设置。 完成配置后,重新打开gvim并编辑verilog代码,可以享受到更高效和便捷的编辑体验。可以根据需要进行进一步的配置和调整。 ### 回答2: 要配置GVim以编辑Verilog文件,可以按照以下步骤进行操作: 1. 下载和安装GVim:双击下载的GVim安装包,按照提示进行安装安装完成后,打开GVim编辑器。 2. 配置.vimrc文件:在GVim安装目录下找到.vimrc文件,可以使用文本编辑器(如Notepad++)打开该文件。如果没有.vimrc文件,可以手动创建一个新的文本文件并命名为.vimrc。 3. 添加Verilog语法插件:在.vimrc文件中,添加以下行来启用Verilog语法插件: ``` filetype plugin on syntax enable ``` 4. 安装其他插件:GVim支持各种插件来增强其功能。可以在插件管理器(如Vundle)中搜索和安装适合Verilog编辑的插件,例如: ``` Plugin 'valloric/YouCompleteMe' " 代码自动补全插件 Plugin 'tpope/vim-fugitive' " Git插件 ``` 5. 配置配色方案:可以在.vimrc文件中添加以下行来设置GVim的配色方案: ``` colorscheme desert " 设置desert配色方案为当前配色方案 ``` 6. 保存并重启GVim:保存.vimrc文件,并退出GVim。重新打开GVim后,所有的配置将生效。 以上是配置GVim以编辑Verilog文件的基本步骤。通过适用的插件和配色方案可以根据个人喜好进行更多的自定义配置。 ### 回答3: gvim是一个功能强大的文本编辑器,支持多种编程语言,包括Verilog。配置gvim用于Verilog的开发可以提高开发效率和代码质量。 首先,需要确保在计算机上已经安装gvim。然后,可以按照以下步骤进行配置: 1. 添加Verilog语法高亮:打开gvim,输入命令“:syntax on”,这将启用语法高亮功能,使Verilog代码在编辑器中更容易辨认。 2. 设置自动缩进:输入命令“:set autoindent”,这将启用自动缩进功能,使代码更整齐和可读。 3. 定义快捷键:可以通过配置.vimrc文件来为一些常用命令定义快捷键。打开.vimrc文件,添加以下行: ``` map <F5> :!vvp %:r<CR> map <F6> :!iverilog -o %:r %<CR> ``` 这样,按下F5键可以运行Verilog代码,按下F6键可以编译代码。 4. 安装插件:gvim支持丰富的插件,可以根据需要安装一些与Verilog开发相关的插件,例如Vim-Autotag插件用于自动标记变量、中断等。 5. 设置代码折叠:输入命令“:set foldmethod=syntax”,这将启用基于语法的折叠功能,使得可以折叠显示代码块,提高代码的可读性。 配置完成后,可以自由使用gvim进行Verilog代码的编写和编辑。通过高亮、自动缩进、快捷键等功能,可以更高效地进行代码开发和调试。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值