Symposium
Abbr. Symposium VLSI IEEE Symposia on VLSI Technology and Circuits ISCAS IEEE International Symposium on Circuits and Systems MICRO IEEE/ACM International Symposium on Microarchitecture Hot Chips Hot Chips: A Symposium on High Performance Chips HPCA International Symposium on High-Performance Computer Architecture ASPLOS International Conference on Architectural Support for Programming Languages and OperatingSystems ISPSD IEEE International Symposium on Power Semiconductor Devices and ICs ISLPED ACM/IEEE International Symposium on Low Power Electronics and Design COOL CHIPS IEEE Symposium in Low-Power and High-Speed Chips MWSCAS Midwest Symposium on Circuits and Systems
Conference
Abbr. Conference ISSCC IEEE International Solid-State Circuits Conference ICTA IEEE International Conference on Integrated Circuits, Technologies and Applications AICAS IEEE International Conference on Artificial Intelligence Circuits and Systems ISOCC IEEE International SOC Conference DAC Design Automation Conference IEDM IEEE International Electron Devices Meeting ESSCIRC European Solid-State Circuit Conference CICC IEEE Custom Integrated Circuits Conference ASSCC IEEE Asian Solid-State Circuits Conference APCCAS Asia Pacific Conference on Circuits and Systems ASP-DAC Asia and South Pacific Design Automation Conference ICCAD IEEE International Conference on Computer-aided Design ISCA International Symposium on Computer Architecture DATE Design, Automation and Test in Europe Conference and Exhibition VLSI IEEE Symposia on VLSI Technology and Circuits Hot Chips Hot Chips: A Symposium on High Performance Chips ISCAS IEEE International Symposium on Circuits and Systems MICRO IEEE/ACM International Symposium on Microarchitecture HPCA International Symposium on High-Performance Computer Architecture ASPLOS International Conference on Architectural Support for Programming Languages and OperatingSystems ISPSD IEEE International Symposium on Power Semiconductor Devices and ICs ISLPED ACM/IEEE International Symposium on Low Power Electronics and Design
Journal
Abbr. Journal JSSC IEEE Journal of Solid-State Circuits TCAS-I IEEE Transactions on Circuits and Systems I TCAS-II IEEE Transactions on Circuits and Systems II TVLSI IEEE Transactions on Very Large Scale Integration Systems Micro IEEE Micro OJ-SSCS IEEE Open Journal of the Solid-State Circuits Society D&T IEEE Design & Test
Experience
电路设计:
会议: ISSCC > VLSIC > ESSCIRC/CICC/RFIC > ASSCC > ISCAS > 各种local CAS (APCCAS, MWSCAS等) 期刊:JSSC > SSC-L ~ TCAS-I ~ TPE ~ TBIOCAS > TCAS-II >TVLSI > EL …
EDA方向:
DAC(国际设计自动化会议,Design Automation Conference) ICCAD(国际计算机辅助设计会议,International Conference on Computer Aided Design) DATE(欧洲设计自动化与测试学术会议,Design, Automation and Test in Europe) ASP-DAC(亚太地区设计自动化会议,Asia and South Pacific Design Automation Conference) 一起被公认为电子设计自动化领域水平最高的四大国际会议。