Vivado2020.2 联合 Modelsim2019.2进行仿真


前言

Vivado2020.2联合modelsim2019.2编译仿真库


一、准备工作

安装好Vivado2020.2及modelsim2019.2版本
Vivado2020.2软件
Vivado2020.2

modelsim2019.2

二、操作步骤

1.打开vivado,选择编译仿真库文件

打开软件后,选择左上方Tools>Compile Simulation Libraries

在这里插入图片描述

2.开始编译

在这里插入图片描述

1.选择Modelsim Simulator
2.选择语言,常用Verilog,可以选ALL。
3.默认ALL。
4.选择FPGA器件类型,这里可以选择常用的FPGA型号,来减少编译的时间。
5.编译后的库存放路径。
6.仿真工具的路径。即Modelsim安装路径下的启动文件所在文件夹。例如,我安装路径为 C:\Software\modeltech\modeltech64_2019.2\win64
7.GCC文件路径,如果要使用工具安装中指定的路径以外的GCC路径,则需要此选项。如果未使用SystemC IP,则可忽略。默认选择vivado安装路径下的该路径C:/Software/Xilinx/Vivado/2020.2/tps/win64/msys64/mingw64/bin,这里必须填写不然会进行不下去。
8.勾选编译IP,一般默认勾选。
9.点击开始编译按钮进行编译。

3.等待编译

在这里插入图片描述
等待弹窗编译完成即可


4.配置Vivado

1.点击Tools下的Settings
在这里插入图片描述
2.选择3rd Party Simulators界面
在这里插入图片描述
1.选择modelsim安装路径下的可执行文件所在路径。一般在win64路径下。
2.在Modesim一栏选择刚编译的库文件路径。中间GCC路径可以不用管。
3.点击Apply并点击OK。

5.开始仿真

打开你需要仿真的工程,准备好仿真文件,点击Tools下的Settings。
在这里插入图片描述
1.这里调用Modesim即选择Modelsim Simulator。
2.仿真语言选择。
3.仿真顶层文件。
4.前面编译的库文件路径。
5.点击Apply并OK。

点击工程右侧仿真窗口的SIMULATION>Run Behavioral Simulation
在这里插入图片描述

总结

以上就是今天要讲的内容,本文仅仅简单介绍了Vivado2020.2如何联合Modesim2019.2进行仿真,过程简单,希望能给有需要的人提供帮助。
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值