【最新发布】OpenCV实验大师工作流引擎 - 实现OpenCV算法从设计到交付零代码

点击查看 更多 OpenCV工作流引擎案例与代码教程,QT集成案例

OpenCV实验大师工具软件介绍

一款能够提升OpenCV教学质量与OpenCV工程化开发质量与速度的OpenCV算法设计与流程验证 工具软件 - OpenCV实验大师平台。 一款OpenCV工程化开发效率提升与OpenCV教学质量提升的专业工具软件。作者花了400多个日日夜夜开发的软件,完全免费给所有OpenCV开发者与爱好者使用。此处应该有掌声,软件下载地址:
OpenCV实验大师工具软件下载

软件安装指南

https://mp.weixin.qq.com/s/7KkcGqIZijyJOCqRfzh_NQ

OpenCV实验大师工作流引擎SDK

基于OpenCV实验大师工具套件设计的算法流程支持保存为*.vm格式的算法设计文件,该文件通过对应的OpenCV实验大师工作流引擎 C++ SDK可以完成单独部署与执行,帮助学生完成课程设计,提升编程能力与动手能力,解决实际工程问题,做到学以致用。

正所谓“行百里者半九十”,OpenCV实验大师工具软件解决了OpenCV算法设计与流程验证的第一道门槛,但是在工作流设计与客户端上位机软件集成调用,依然存在OpenCV开发鸿沟,如今OpenCV实验大师工作流引擎 C++ SDK 完成了这最后一关,实现了OpenCV算法开发从设计、工作流验证、工作流导出、工作流C++部署运行的完整闭环。

OpenCV实验大师工作流引擎 C++ SDK 与OpenCV实验大师工作流设计软件的关系与应用场景如下:
在这里插入图片描述
工作流引擎下载 配置与视频教程

工作流引擎安装与配置使用教程

在这里插入图片描述
在这里插入图片描述
然后把main.cpp中得代码直接添加到工程中,修改以下文件路径,代码如下:

#include "main_workflow.h"
#include <iostream>
#include <fstream>

int main(int argc, char** argv) {

    std::shared_ptr<QTongCoreCVWorkFlow> engine(new QTongCoreCVWorkFlow());
    bool succ = engine->initWorkFlow("D:/oemts_workflow_lib/find_defeact.vm", "69585e470300cdb5a6910131eb639882");
    if (!succ) {
        std::cout << "Could not load workflow file here..." << std::endl;
        return -1;
    }
    cv::Mat frame = cv::imread("D:/oemts_workflow_lib/4156.bmp");

    cv::namedWindow("OpenCV实验大师 C++工作流引擎演示", cv::WINDOW_NORMAL);
    cv::Mat result;
    std::vector<std::string> logs;
    engine->run_workflow(frame, result, logs);

    cv::imshow("OpenCV实验大师 C++工作流引擎演示", result);
    cv::waitKey(0);
    cv::destroyAllWindows();
    return 0;
}

然后点击生成,成功以后,直接把D:\oemts_workflow_lib\lib 文件夹内得dll跟lib文件拷贝到 测试项目得x64/Release文件夹内。然后点击运行结果如下:
在这里插入图片描述
说明 OpenCV实验大师工作流引擎真的可以运行了。实际工作当中 80%的OpenCV代码都可以通过OpenCV实验大师工作流引擎 零代码完成开发。

OpenCV实验大师平台的愿景
Make OpenCV Development Easy

点击查看 更多 OpenCV工作流引擎案例与代码教程,QT集成案例

  • 8
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

gloomyfish

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值