元件:半加器

--半加器是实现两个一位二进制数的加法运算电路
--对两个输入数据位相加,输出一个结果位和进位,没有进位输入
LIBRARY IEEE;
USE IEEE_STD_LOGIC_1164.ALL;

--half_adder端口配置:
--输入口:data1,data2
--输出口:sum结果,carry进位
ENTITY half_adder IS
PORT(data1,data2:IN STD_LOGIC;
sum:OUT STD_LOGIC;
carry:OUT STD_LOGIC);
END ENTITY half_adder;
--behave类型通常用于验证算法,rtl类型通常用于面向对象
ARCHITECTURE behave_half_adder1 OF half_adder IS
BEGIN
	sum<=data1 XOR data2 AFTER 6ns;
	carry<=data1 AND data2 AFTER 6ns;
END ARCHITECTURE behave_half_adder1;
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值