Altera新手教程

1.设置系统仿真路径(ModelSim-SE)


2.设置工程仿真


3.编译

如果出现Error (119013): Current license file does not support the EP4CE6E22C8 device 此类错误 就是没有破解好

4.新建波形文件,此功能是内部调用modelsim进行的功能仿真(新手理解)适合于简单的程序仿真,快捷方便,当然modelsim也可 以做同样的事情,但对于初学者来说,altera提供此功能是很方便的!

  • Simulation Waveform Editor 程序教程请参考 Help中的教程,写的很详细

  • 建立波形图,如下图所示:

  • 点击功能仿真

  • 结果如下:

  • 如果出现以下错误,需要在modelsim中建立仿真库,并且名字要和altera调用保持一致

5.在modelsim中建立仿真库
在D:\modeltech64_10.4目录下建立altera仿真库,新建altera_lib文件夹,在此文件夹下再建立两个文件夹Verilog和VHDL,如下图所示
  1. 打开modelsim软件
切换工作目录


新建库文件
cycloneive_ver
altera_ver
altera_mf_ver
220model_ver
sgate_ver
altera_lnsim_ver

。。。
  1. 编译库


按下图箭头所指内容选定后,点击Compile.





去除D:\modeltech64_10.4 目录下modelsim.ini只读属性,在[Library]下添加以下内容
cycloneive_ver= $MODEL_TECH/../altera/Verilog/cycloneive_ver
altera_ver= $MODEL_TECH/../altera/Verilog/altera_ver
altera_mf_ver= $MODEL_TECH/../altera/Verilog/altera_mf_ver
220model_ver= $MODEL_TECH/../altera/Verilog/220model_ver
sgate_ver= $MODEL_TECH/../altera/Verilog/sgate_ver
altera_lnsim_ver= $MODEL_TECH/../altera/Verilog/altera_lnsim_ver

附件为我的modelsim.ini文件,尽供参考;
modelsim.ini
3. 最后设置modelsim.ini文件为只读属性。

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值