Verilog 系统任务 $random

Verilog 系统任务 $random

这个系统函数提供了一个产生随机数的手段。当函数被调用时返回一个32bit的随机数。它是一个带符号的整形数。

第一种用法:$random % b

其中b>0,它给出了一个范围在(-b+1):(b-1)中的随机数。

第二种用法:{$random} % b

b>0,它给出了一个范围在 0:(b-1)中的随机数。

示例:

`timescale 1ns/1ns 
module random_pulse( dout ); 
output [9:0] dout; 
reg dout; 
integer delay1,delay2,k; 
initial begin #10 dout=0; 
		for (k=0; k< 100; k=k+1) 
			begin delay1 = 20 * ( {$random} % 6); // delay1 在0到100ns间变化
				delay2 = 20 * ( 1 + {$random} % 3); // delay2 在20到60ns间变化
				#delay1 dout = 1 << ({$random} %10); //dout的0--9位中随机出现1,并出现的时间在0-100ns间变化
				#delay2 dout = 0; //脉冲的宽度在在20到60ns间变化
			end 
		end
endmodule 

引用:《Verilog数字系统设计教程》夏宇闻 p56

  • 2
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值