verilog中的系统任务

以下内容来源于《Verilog数字系统设计教程》
$display $write $monitor $strobe d u m p v a r s dumpvars dumpvarsdumpon $dumpoff
$dumpall $dumpfile
f o p e n fopen fopenfdisplay $fmonitor f w r i t e fwrite fwritefstrobe

Verilog HDL语言中共有以下一些系统函数和任务:
$bitstoreal, $rtoi, $display, $setup, $finish, $skew, $hold,
$setuphold, $itor, $strobe, $period, $time, $printtimescale,
$timefoemat, $realtime, $width, $real tobits, $write, r e c o v e r y , 在 V e r i l o g H D L 语 言 中 每 个 系 统 函 数 和 任 务 前 面 都 用 一 个 标 识 符 recovery, 在Verilog HDL语言中每个系统函数和任务前面都用一个标识符 recovery,VerilogHDL来加以确认。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

IC媛

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值