AMBA Bus Architecture

AMBA Bus Architecture

一 AHB

       传统的ARM总线架构里包括AHB和APB。AHB System是由Master,Slave,Infrastructure 三部分所组成。整个AHB bus上的传输都是由master所发出,由slave负责回应。而infrastructure则由arbiter,master to slave multiplexor,slave to master multiplexor,decoder,dummy slave,dummy master 所组成。
AHB common signals:

    - HCLK   All transfers 的时钟
    - HRESETn reset system and bus , active low
    - HADDR[31:0] system address bus
    - HTRANS[1:0] transfer type 
    - HWRITE transfer direction
    - HSIZE[2:0] transfer size
    - HBURIST[2:0] brust type
    - HWDATA[31:0] write data bus
    - HRDATA[31:0] read data bus
    - HSELx slave select
    - HREADY transfer done, high indicates a transfer has finished
    - HRESP[1:0] transfer response OKAY,ERROR,RETRY,SPLIT

AHB arbiter机制:
       当master 想要access bus 时候,master 将HBUSREQ signal(bus request) 给drive high(每个master 都有自己的HBUSREQ 信号),同一个时间可能有多个master 都想要access bus,因此arbiter 在HCLK 的rising edge 去sample 各个master 的HBUSREQ 信号后,需要决定哪个发出request 的master 有最高的priority(虽然仲裁规范是AMBA总线规范中的一部分,但具体使用的算法由设计工程师自行决定,AHB 并没有规定priority algorithm,其中两个最常用的算法是固定优先级算法和循环制算法),然后将此master 的HGRANT(bus grant signal由arbiter给出) 信号drive high,表示他可以access bus 了。(若原本已有master 在access bus,arbiter会把原本master 的HGRANT 信号给drive LOW, 表示他已经丧失access 的权利。

       当master 正在进行fixed-length burst transfer (固定长度的突发连续传输)时候,如果有更高priority 的master 发出了request,arbiter 可以等待burst 完成后再將bus grant 给新的master,也可以在burst进行中就中断原来 master 的bus 拥有权(ownership),让更高priority 的master 去access bus。而被中断的master就需要重新发出request,等待下一次Grant bus时候继续完成burst了。

       若master想要进行的连续 transfer是不可以中断的 (比如在access shared memory 时候),则master 可以在request 时候,同时将HLOCK 给drive high,告诉arbiter我要进行的是不可被中断的transfer,则当master 获得access bus权力后 ,arbiter将不会把bus release给其它master,直到master自行将HLOCK 给drive LOW,arbiter才会进行arbitration 的动作。

二 APB Bus

       APB 主要是用在连接low-bandwidth外围设备上,例如UART等。它的bus架构不像AHB为Multi-Master,在APB里面唯一的的 master就是APB Bridge(与AHB Bus 相接),因此不需要arbiter以及request/grant信号。其特性包括:两个时钟周期传输;无需等待周期和回应信号;控制逻辑简单,只有四个控制信号。

       APB 桥既是APB总线上唯一的主模块,也是AHB系统总线上的从模块。其主要功能是锁存来自AHB系统总线的地址、数据和控制信号,并提供二级译码以产生APB外围设备的选择信号,从而实现AHB协议到APB协议的转换。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值